Illumination Systems Or Details Patents (Class 355/67)
  • Patent number: 8854606
    Abstract: The invention relates to a projection exposure system, in particular for micro-lithography. The projection exposure system according to the invention comprises a light source for producing light in the EUV region. The projection exposure system further comprises a first optical system for illuminating a mask by the light of the light source and a second optical system for imaging the mask on a component. At least one polarization-optical element is disposed on the beam path between the light source and the component.
    Type: Grant
    Filed: June 15, 2011
    Date of Patent: October 7, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Hans-Jürgen Mann, Wolfgang Singer, Toralf Gruner, Olaf Dittmann, Michael Totzeck
  • Patent number: 8854604
    Abstract: A microlithographic projection exposure apparatus includes an optical surface, which may be formed by a plurality of micro-mirrors, and a measurement device which is configured to measure a parameter related to the optical surface at a plurality of locations. The measurement device includes an illumination unit with a plurality of illumination members, each having a light exit facet. An optical imaging system establishes an imaging relationship between an object plane in which at least two light exit facets are arranged, and an image plane which at least substantially coincides with the optical surface. A detector unit measures the property of measuring light after it has interacted with the optical surface, and an evaluation unit determines the surface related parameter for each of the locations on the basis of the properties determined by the detector unit.
    Type: Grant
    Filed: August 23, 2011
    Date of Patent: October 7, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Markus Deguenther, Michael Patra, Andras G. Major
  • Publication number: 20140293255
    Abstract: The invention relates to a mirror arrangement, in particular for use in a microlithographic projection exposure apparatus, comprising a plurality of individual mirrors and a plurality of flexures, wherein each individual mirror is tiltable about at least one tilting axis via one of the flexures and wherein the flexures are integrated into a common component.
    Type: Application
    Filed: June 13, 2014
    Publication date: October 2, 2014
    Inventor: Boaz Pnini-Mittler
  • Publication number: 20140293254
    Abstract: An illumination device for illuminating a reticle surface as an illumination target surface with illumination light supplied from a light source is provided with a first polarization beam splitter for separating the illumination light into a first beam and a second beam with respective polarization directions orthogonal to each other; a deformable mirror which is arranged in an optical path of the second beam and a shape of a reflecting surface of which is variable for changing a phase difference distribution between the first beam and the second beam; and a second polarization beam splitter for combining the first beam and the second beam between which the phase difference distribution has been established. The illumination target surface can be illuminated with light having a distribution of various polarization states.
    Type: Application
    Filed: March 12, 2014
    Publication date: October 2, 2014
    Inventor: Hideki KOMATSUDA
  • Patent number: 8847181
    Abstract: Systems and methods are provided in which an extreme ultraviolet (EUV) light generation apparatus used with a laser apparatus is configured to detect an image of a laser beam by which a target has been irradiated. The EUV light generation apparatus may also be configured to control the position at which a laser beam is to be focused and the position of a target, based on the detection result.
    Type: Grant
    Filed: February 22, 2012
    Date of Patent: September 30, 2014
    Assignee: Gigaphoton Inc.
    Inventors: Masato Moriya, Hideyuki Hayashi, Osamu Wakabayashi
  • Patent number: 8848167
    Abstract: To reduce deformations which may be caused by a functional coating on a substrate in an optical element for UV or EUV lithography, an optical element is suggested comprising a functional coating (46) on a first surface (42) of a substrate (41), wherein the substrate (41) comprises a second surface (43) having a common edge (45) with the first surface (42), and the second surface (43) also has a coating (47) and the thickness t2 and the stress ?2 of the coating (47) on the second surface (33, 43) are chosen such that, in combination with the thickness t1 and the stress ?1 of the functional coating (36, 46) on the first surface (32, 42), the condition t 1 · ? 1 t 2 · ? 2 = X is fulfilled, wherein X has a value between 0.8 and 5.0.
    Type: Grant
    Filed: August 12, 2011
    Date of Patent: September 30, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Johannes Lippert, Dirk Schaffer
  • Patent number: 8848165
    Abstract: An immersion lithography apparatus is disclosed in which liquid is supplied to a space between a projection system and a substrate, and a plate structure is provided to divide the space into two parts. The plate structure has an aperture to allow transmission of the projection beam, has through holes in it to reduce the damping effect of the presence of the plate and optionally has one or more inlets and outlets to provide various flows around the aperture in the plate. An embodiment of the invention may reduce the transportation of contaminants, stray light, temperature gradients, and/or the effect of bubbles on the imaging quality.
    Type: Grant
    Filed: February 9, 2012
    Date of Patent: September 30, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Sjoerd Nicolaas Lambertus Donders, Bob Streefkerk, Martinus Hendrikus Antonius Leenders
  • Publication number: 20140285786
    Abstract: An exposure apparatus configured to project each of a plurality of radiation beams onto a respective location on a target, the plurality of radiation beams forming a desired dose pattern via a plurality of spot exposures, the nominal position of a characteristic point in the dose distribution of each of the spot exposures lying at points defining a first grid. The apparatus has, or is provided data from, a controller configured to: calculate a target intensity value for each of the plurality of radiation beams to expose the target to the desired dose pattern, the calculation using as input a rasterized representation of the desired dose pattern, the rasterized representation including a dose value defined at each of a plurality of points on a second grid, the first and second grids having the same geometry, and control the exposure apparatus to emit beams with the target intensity values.
    Type: Application
    Filed: November 15, 2012
    Publication date: September 25, 2014
    Applicant: ASML Netherlands B.V.
    Inventors: Patricius Aloysius Jacobus Tinnemans, Wouter Frans Willem Mulckhuyse
  • Publication number: 20140285783
    Abstract: An EUV mirror arrangement (100) has a multiplicity of mirror elements (110, 111, 112) which are arranged alongside one another and jointly form a mirror surface of the mirror arrangement. Each mirror element has a substrate (120) and a multilayer arrangement (130) applied on the substrate and having a reflective effect with respect to radiation from the extreme ultraviolet range (EUV), said multilayer arrangement comprising a multiplicity of layer pairs (135) having alternate layers composed of a high refractive index layer material and a low refractive index layer material. The multilayer arrangement has an active layer (140) arranged between a radiation entrance surface and the substrate and consisting of a piezoelectrically active layer material, the layer thickness (z) of which active layer can be altered by the action of an electric field. For each active layer provision is made of an electrode arrangement for generating an electric field acting on the active layer.
    Type: Application
    Filed: September 23, 2013
    Publication date: September 25, 2014
    Applicant: CARL ZEISS SMT GMBH
    Inventors: Udo DINGER, Frederik BIJKERK, Muharrem BAYRAKTAR, Oliver DIER
  • Publication number: 20140285784
    Abstract: A drawing apparatus accepts a selection manipulation for selecting the type of shape of a light outgoing ratio function defining a relationship between the position of modulation units included in an optical unit as seen in the direction of the arrangement of the modulation units and a light outgoing ratio from among a plurality of shape type candidates. The drawing apparatus then adjusts the light outgoing ratio of each of the modulation units in accordance with the accepted shape type. While emitting a beam of drawing light of a strip-shaped cross-sectional configuration from the optical unit, the drawing apparatus moves the optical unit relative to a substrate in a direction orthogonal to the direction of the longer dimension of the strip-shaped cross section of the drawing light beam to perform a drawing process on the substrate.
    Type: Application
    Filed: June 6, 2014
    Publication date: September 25, 2014
    Inventors: Yoshinori HONJO, Makoto UESATO
  • Publication number: 20140285785
    Abstract: The invention relates to intensity values for a plurality of beams used to irradiate a plurality of locations on a target are determined with reference to the position and/or rotation of the locations. Also provided is an associated lithographic or exposure apparatus, an associated device manufacturing method and an associated computer program.
    Type: Application
    Filed: November 6, 2012
    Publication date: September 25, 2014
    Applicant: ASML Netherlands B.V.
    Inventors: Patricius Aloysius Jacobus Tinnemans, Arno Jan Bleeker, Erik Roelof Loopstra
  • Patent number: 8841046
    Abstract: A system for generating periodic or quasi-periodic patterns on a sample by means of an interference lithography technique includes a photon source, a mask and a sample holder. The mask has a grating for generating a predetermined pattern, wherein the mask is positioned at a first distance from the photon source. The sample holder is disposed at a second distance from the mask on a side facing away from the photon source. The second distance is selected to be where an intensity distribution is substantially stationary and distance-invariant, or the second distance is varied to obtain a desired average intensity distribution on the sample surface.
    Type: Grant
    Filed: October 13, 2005
    Date of Patent: September 23, 2014
    Assignee: Eulitha AG
    Inventor: Harun H. Solak
  • Publication number: 20140268081
    Abstract: An integrated extreme ultraviolet blank production system includes: a vacuum chamber for placing a substrate in a vacuum; a deposition system for depositing a multi-layer stack without removing the substrate from the vacuum; and a treatment system for treating a layer on the multi-layer stack to be deposited as an amorphous metallic layer. A physical vapor deposition chamber for manufacturing an extreme ultraviolet mask blank includes: a target, comprising molybdenum alloyed with boron. An extreme ultraviolet lithography system includes: an extreme ultraviolet light source; a mirror for directing light from the extreme ultraviolet light source; a reticle stage for placing an extreme ultraviolet mask blank with a multi-layer stack having an amorphous metallic layer; and a wafer stage for placing a wafer. An extreme ultraviolet blank includes: a substrate; a multi-layer stack having an amorphous metallic layer; and capping layers over the multi-layer stack.
    Type: Application
    Filed: December 23, 2013
    Publication date: September 18, 2014
    Applicant: Applied Materials, Inc.
    Inventors: Ralf Hofmann, Kevin Moraes
  • Publication number: 20140268082
    Abstract: A photoresist vapor deposition system includes: a vacuum chamber having a heating element and cooled chuck for holding a substrate, the vacuum chamber having a heated inlet; and a vapor deposition system connected to the heated inlet for volatilizing a precursor into the vacuum chamber for condensing a photoresist over the substrate cooled by the cooled chuck. The deposition system creates a semiconductor wafer system that includes: a semiconductor wafer; and a vapor deposited photoresist over the semiconductor wafer. An extreme ultraviolet lithography system requiring the semiconductor wafer system includes: an extreme ultraviolet light source; a mirror for directing light from the extreme ultraviolet light source; a reticle stage for imaging the light from the extreme ultraviolet light source; and a wafer stage for placing a semiconductor wafer with a vapor deposited photoresist.
    Type: Application
    Filed: December 23, 2013
    Publication date: September 18, 2014
    Applicant: Applied Materials, Inc.
    Inventors: Timothy Michaelson, Timothy W. Weidman, Barry Lee Chin, Majeed Foad, Paul Deaton
  • Publication number: 20140268084
    Abstract: A projection lens for imaging a pattern arranged in an object plane of the projection lens into an image plane of the projection lens via electromagnetic radiation having an operating wavelength ?<260 nm has a multiplicity of optical elements having optical surfaces which are arranged in a projection beam path between the object plane (OS) and the image plane. Provision is made of a wavefront manipulation system for dynamically influencing the wavefront of the projection radiation passing from the object plane to the image plane.
    Type: Application
    Filed: February 6, 2014
    Publication date: September 18, 2014
    Inventor: Heiko Feldmann
  • Publication number: 20140268083
    Abstract: An extreme ultraviolet mirror or blank production system includes: a first deposition system for depositing a planarization layer over a semiconductor substrate; a second deposition system for depositing an ultra-smooth layer over the planarization layer, the ultra-smooth layer having reorganized molecules; and a third deposition system for depositing a multi-layer stack over the ultra-smooth layer. The extreme ultraviolet blank includes: a substrate; a planarization layer over the substrate; an ultra-smooth layer over the planarization layer, the ultra-smooth layer having reorganized molecules; a multi-layer stack; and capping layers over the multi-layer stack. An extreme ultraviolet lithography system includes: an extreme ultraviolet light source; a mirror for directing light from the extreme ultraviolet light source; a reticle stage for placing an extreme ultraviolet mask blank with a planarization layer and an ultra-smooth layer over the planarization layer; and a wafer stage for placing a wafer.
    Type: Application
    Filed: December 23, 2013
    Publication date: September 18, 2014
    Applicant: Applied Materials, Inc.
    Inventors: Soumendra N. Barman, Cara Beasley, Abhijit Basu Mallick, Ralf Hofmann, Nitin K. Ingle
  • Publication number: 20140268077
    Abstract: A continuous flow projection lithography system to form microstructures using an optical array incorporated in a continuous coating process is provided. A mask is placed at a distance from the array. Each element of the array projects one image of the mask onto a substrate, effectively forming an array thereon. A coating process allows flows that can be used to define functional regions of particles or supporting layers that prevent adhesion of crosslinked polymers to surfaces.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Applicant: PALO ALTO RESEARCH CENTER INCORPORATED
    Inventors: Kai Melde, Philipp H. Schmaelzle
  • Publication number: 20140268080
    Abstract: An integrated extreme ultraviolet (EUV) blank production system includes: a vacuum chamber for placing a substrate in a vacuum; a first deposition system for depositing a planarization layer having a planarized top surface over the substrate; and a second deposition system for depositing a multi-layer stack on the planarization layer without removing the substrate from the vacuum. The EUV blank is in an EUV lithography system includes: an extreme ultraviolet light source; a mirror for directing light from the EUV source; a reticle stage for placing a EUV mask blank with a planarization layer; and a wafer stage for placing a wafer. The EUV blank includes: a substrate; a planarization layer to compensate for imperfections related to the surface of the substrate, the planarization layer having a flat top surface; and a multi-layer stack on the planarization layer.
    Type: Application
    Filed: December 23, 2013
    Publication date: September 18, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Cara Beasley, Ralf Hofmann, Majeed Foad, Timothy Michaelson
  • Publication number: 20140268079
    Abstract: A particle counter may include a housing having an inlet, an outlet, and a window therebetween. The inlet and the outlet may be configured such that a fluid can be flowed therethrough. A plurality of light sources may be arranged outside the housing to provide lights of different wavelengths into the housing through the window. Sensors may be provided outside the housing to detect fractions of the lights scattered by a bubble and/or a particle in the fluid. A control part may be configured to monitor intensities of the lights detected by the sensors and to analyze a difference in intensity between the scattered lights, thereby distinguishing the particles from the bubbles in the fluid.
    Type: Application
    Filed: November 15, 2013
    Publication date: September 18, 2014
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jinho KIM, Jiyoung KIM, Jeong-In YOON, Kwangshin LIM
  • Publication number: 20140268078
    Abstract: The present disclosure provides a systems and methods for e-beam lithography. One system includes an electron source operable to produce a beam and an array of pixels operable to pattern the beam. Control circuitry is spaced a distance from and coupled to the array of pixels. The control circuitry uses time domain multiplex loading (TMDL) to control the array of pixels.
    Type: Application
    Filed: June 10, 2013
    Publication date: September 18, 2014
    Inventors: Ming-Zhang Kuo, Ping-Lin Yang, Cheng-Chung Lin, Osamu Takahashi, Sang Hoo Dhong
  • Patent number: 8836916
    Abstract: The invention concerns an illumination system for use in a stereolithography apparatus, comprising: a planar support; a multilens projector array mechanically supported on the planar support over the array on a plano side, and having a work surface arranged to receive a resin applying device for applying a resin layer, the projector array comprising a stack of optical elements, including a plurality of lenslets adapted to project the LEDs onto the work surface, and a two-dimensional array of individually controllable light-emitting diodes (LEDs) arranged between the planar support and the multilens projector. According to an aspect, the planar support and the plano side are supported on contact zones arranged over substantially the entire plano side; the illumination system thus forming a rigid body.
    Type: Grant
    Filed: March 8, 2010
    Date of Patent: September 16, 2014
    Assignees: Nederlandse Organisatie voor toegepast-natuurwetenschappelijk Onderzoek TNO, DSM IP Assets B.V.
    Inventors: Jacobus Hubertus Theodoor Jamar, Andries Rijfers, Borgert Kruizinga, Jentske D. Kooistra, Mark Herman Else Vaes
  • Patent number: 8836913
    Abstract: A lithographic apparatus is disclosed that includes an encoder type sensor system configured to measure a position of a substrate table of the lithographic apparatus relative to a reference structure. The encoder type sensor system includes an encoder sensor head and an encoder sensor target and the lithographic apparatus comprises a recess to accommodate the encoder sensor target.
    Type: Grant
    Filed: November 30, 2011
    Date of Patent: September 16, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Peter Paul Steijaert, Wilhelmus Josephus Box, Emiel Jozef Eussen, Erik Roelof Loopstra, Engelbertus Antonius Fransiscus Van Der Pasch, Ruud Antonius Catharina Maria Beerens, Albertus Adrianus Smits
  • Publication number: 20140253894
    Abstract: A radiation source having a fuel stream generator (110) that generates and directs a fuel stream (102) along a trajectory towards a plasma formation location (104). A pre-pulse laser radiation assembly directs a first beam of laser radiation (100) at the fuel stream at the plasma formation location to generate a modified fuel target (106). A main pulse laser radiation assembly directs a second beam of laser radiation (108) at the modified fuel target at the plasma formation location to generate a radiation generating plasma (117). A collector (122) collects the radiation and directs it along an optical axis (105) of the radiation source. The first beam of laser radiation being directed toward the fuel stream substantially along the optical axis.
    Type: Application
    Filed: August 23, 2012
    Publication date: September 11, 2014
    Applicant: ASML Netherland B.V.
    Inventors: Jan Bernard Plechelmus Van Schoot, Hermanus Johannes Maria Kreuwel, Vadim Yevgenyevich Banine, Andrei Mikhailovich Yakunin, Johannes Hubertus Josephina Moors, Olav Waldimir Frijns, Gerardus Hubertus Petrus Maria Swinkels, Ivo Vanderhallen, Uwe Bruno Heini Stamm
  • Publication number: 20140253893
    Abstract: An exposure apparatus is provided for performing a unidirectional scan-exposure. The exposure apparatus includes a base and a plurality of wafer stages on the base for loading/unloading wafers and successively moving from a first position to a second position of the base cyclically. The exposure apparatus also includes alignment detection units above the first position of the base for detecting alignment marks on the wafer and aligning the wafers and a cylindrical reticle system above the second position of the base. Further, the exposure apparatus includes an optical projection unit between the cylindrical reticle system and the base for projecting light onto the wafers for an exposure. Further, the exposure apparatus also includes an illuminator box and a main control unit.
    Type: Application
    Filed: September 8, 2013
    Publication date: September 11, 2014
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: QIANG WU, CHANG LIU, JING'AN HAO
  • Patent number: 8830590
    Abstract: A unit magnification Wynn-Dyson lens for microlithography has an image field sized to accommodate between four and six die of dimensions 26 mm×36 mm. The lens has a positive lens group that consists of either three or four refractive lens elements, with one of the lens elements being most mirror-wise and having a prism-wise concave aspheric surface. Protective windows respectively reside between object and image planes and the corresponding prism faces. The lens is corrected for at least the i-line LED wavelength spectrum or similar LED-generated wavelengths.
    Type: Grant
    Filed: May 20, 2013
    Date of Patent: September 9, 2014
    Assignee: Ultratech, Inc.
    Inventor: David G. Stites
  • Publication number: 20140248554
    Abstract: Photolithographic apparatus, systems, and methods that make use of sub-resolution assist devices are disclosed. In the various embodiments, an imaging mask includes an optically transmissive substrate having a sub-resolution assist device that further includes a first optical attenuation region and a spaced-apart second optical attenuation region, and an optically transmissive phase adjustment region interposed between the first optical attenuation region and the second optical attenuation region, the phase adjustment region being configured to change a phase of incident illumination radiation by altering an optical property of the substrate.
    Type: Application
    Filed: May 9, 2014
    Publication date: September 4, 2014
    Applicant: Micron Technology, Inc.
    Inventors: Anton P. Eppich, Fei Wang
  • Publication number: 20140247435
    Abstract: In a discharge-produced plasma source, a pair of electrodes is charged using a transmission line. In an embodiment, a pair of transmission lines may be used, connected symmetrically to the electrodes. The impedance of the transmission lines, or the total impedance of the transmission lines, is equal to that of the discharge in an embodiment. Use of a transmission line provides longer discharge pulses with more consistent potential difference.
    Type: Application
    Filed: October 23, 2012
    Publication date: September 4, 2014
    Applicant: ASML Netherlands B.V.
    Inventor: Martinus Coenen
  • Publication number: 20140247437
    Abstract: An illumination system of a microlithographic projection exposure apparatus includes an optical raster element configured to produce a plurality of secondary light sources located in a system pupil surface. The optical raster element has a plurality of light entrance facets, each being associated with one of the secondary light sources. A beam deflecting device includes a beam deflection array of reflective or transparent beam deflecting elements, each being configured to illuminate a spot on one of the light entrance facets at a position that is variable by changing a deflection angle produced by the beam deflecting element. A control unit is configured to control the beam deflection elements such that variable light patterns assembled from the spots can be formed on at least one of the plurality of light entrance facets.
    Type: Application
    Filed: May 9, 2014
    Publication date: September 4, 2014
    Applicant: Carl Zeiss SMT GmbH
    Inventor: Markus Deguenther
  • Patent number: 8823921
    Abstract: A programmable illuminator for a photolithography system includes a light source, a first optical system having a light uniformizing element, a programmable micro-mirror device, and a second optical system that forms an illumination field that illuminates a reticle. The programmable micro-mirror device can be configured to perform shutter and edge-exposure-blocking functions that have previously required relatively large mechanical devices. Methods of improving illumination field uniformity using the programmable illuminator are also disclosed.
    Type: Grant
    Filed: August 19, 2011
    Date of Patent: September 2, 2014
    Assignee: Ultratech, Inc.
    Inventors: Borislav Zlatanov, Andrew M. Hawryluk
  • Publication number: 20140240685
    Abstract: An exposure apparatus irradiates a substrate with light via a projection system and liquid, and includes a stage that moves below the projection system, and a light-receiving element having a light-receiving surface. An optical member provided on the stage has a first surface contacting the liquid when moved to face the projection system, and a second surface contacting a gas and transmitting light having come from the projection system via the liquid and the first surface. The optical member is configured such that at least a large-angle ray of the light, which has an angle with an optical axis of the projection system sufficiently large to undergo total reflection at an end surface of the projection system when the liquid is absent, travels from the projection system to the second surface without passing through gas. The second surface transmits the large-angle ray, which is received by the light-receiving surface.
    Type: Application
    Filed: May 2, 2014
    Publication date: August 28, 2014
    Applicant: NIKON CORPORATION
    Inventors: Hisashi NISHINAGA, Ikuo HIKIMA, Mitsunori TOYODA, Masahiro NAKAGAWA, Tsuneyuki HAGIWARA, Yasushi MIZUNO, Naonori KITA, Osamu TANITSU, Nozomu EMURA
  • Publication number: 20140240686
    Abstract: A mirror for EUV radiation comprises a total reflection surface, which has a first EUV-radiation-reflecting region and at least one second EUV-radiation-reflecting region, wherein the EUV-radiation-reflecting regions are structurally delimited from one another, wherein the first region comprises at least one first partial reflection surface which is surrounded along a circumference in each case by the at least one second region, and wherein the at least one second EUV-radiation-reflecting region comprises at least one second partial reflection surface which is embodied in a path-connected fashion and which is embodied in a continuous fashion.
    Type: Application
    Filed: May 5, 2014
    Publication date: August 28, 2014
    Inventors: Johannes Ruoff, Heiko Feldmann, Michael Layh
  • Patent number: 8817234
    Abstract: An optical device for splitting a single beam to a plurality of beams and an exposure apparatus including the optical device are disclosed. The optical device includes a first DOE lens array including a plurality of first diffractive optical element (DOE) lenses that are two-dimensionally arranged on a first plane and a second lens array including a plurality of second DOE lenses arranged on a second plane parallel to the first plane so as to respectively correspond to the plurality of first DOE lenses. The first DOE lens array splits a first parallel beam into a plurality of second beams by condensing the first parallel beam and the second DOE lens array modifies the plurality of second beams into a plurality of third beams.
    Type: Grant
    Filed: July 12, 2011
    Date of Patent: August 26, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin Choi, Byung-gook Kim
  • Patent number: 8817233
    Abstract: An illumination optical system for projection lithography for the illumination of an illumination field has a facet mirror. An optical system, which follows the illumination optical system, has an object field which can be arranged in the illumination field of the illuminate optical system. The facet mirror has a plurality of facets to reflectively guide part bundles of a bundle of illumination light. Reflection faces of the facets are tiltable in each case. In a first illumination tilt position, the tiltable facets guide the part bundle impinging on them along a first object field illumination channel to the illumination field. In a different illumination tilt position, the tiltable facets guide the part bundle impinging on them along a different object field illumination channel to the illumination field.
    Type: Grant
    Filed: March 4, 2011
    Date of Patent: August 26, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Hans-Juergen Mann
  • Patent number: 8817235
    Abstract: A lithographic apparatus is disclosed that includes an illumination system configured to condition a beam of radiation, the illumination system having a Pockels cell arranged to control the polarization of the radiation beam, and an array of individually controllable reflective elements arranged to control the pupil plane distribution of the radiation beam.
    Type: Grant
    Filed: May 6, 2009
    Date of Patent: August 26, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Patricius Aloysius Jacobus Tinnemans, Johannes Jacobus Matheus Baselmans
  • Patent number: 8817226
    Abstract: An immersion lithography apparatus is provided that includes an energy source, a projection optical system, a stage, a showerhead including an immersion liquid supply device and an immersion liquid discharge device that produces a flow of liquid within an exposure zone, and a cleaning device that cleans a portion of the projection optical system having been contacted with the immersion liquid by means of a cleaning gas. In an embodiment, the cleaning device includes an ozone generation unit produces a flow of ozone into the exposure zone. In embodiments, the apparatus includes a stage that includes a dose sensor and/or an ultra-violet light source. A method for insitu cleaning of a final lens element within an immersion lithography system having an immersion fluid showerhead that provides immersion fluid to an exposure zone of the immersion lithography system is also provided.
    Type: Grant
    Filed: May 28, 2008
    Date of Patent: August 26, 2014
    Assignee: ASML Holding N.V.
    Inventors: Harry Sewell, Louis John Markoya
  • Publication number: 20140233007
    Abstract: In a case where a substrate is exposed to exposure light of a first wavelength band, an exposure coefficient, which is defined as an amount of fluctuation of an imaging characteristic of a projection optical system per unit of exposure energy, for the first wavelength band is calculated using data of the amount of fluctuation of the optical characteristic of the projection optical system. An exposure coefficient for a second wavelength band that is different from the first wavelength band is calculated using the exposure coefficient for the first wavelength band. In a case where the substrate is exposed to exposure light of the second wavelength band, the amount of fluctuation of the imaging characteristic of the projection optical system is calculated using the exposure coefficient for the second wavelength band.
    Type: Application
    Filed: April 23, 2014
    Publication date: August 21, 2014
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Rika Takahashi, Atsushi Shigenobu
  • Publication number: 20140233006
    Abstract: Microlithographic illumination system includes individually drivable elements to variably illuminate a pupil surface of the system. Each element deviates an incident light beam based on a control signal applied to the element. The system also includes an instrument to provide a measurement signal, and a model-based state estimator configured to compute, for each element, an estimated state vector based on the measurement signal. The estimated state vector represents: a deviation of a light beam caused by the element; and a time derivative of the deviation. The illumination system further includes a regulator configured to receive, for each element: a) the estimated state vector; and b) target values for: i) the deviation of the light beam caused by the deviating element; and ii) the time derivative of the deviation.
    Type: Application
    Filed: April 17, 2014
    Publication date: August 21, 2014
    Inventors: Stefan Xalter, Yim-Bun Patrick Kwan, Manfred Maul, Johannes Eisenmenger, Jan Horn, Markus Deguenther, Florian Bach, Michael Patra, Damian Fiolka, Andras G. Major, Johannes Wangler, Michael Layh
  • Publication number: 20140231970
    Abstract: Various embodiments provide a method for processing a carrier, the method including changing the three-dimensional structure of a mask layer arranged over the carrier so that at least two mask layer regions are formed having different mask layer thicknesses; and applying an ion implantation process to the at least two mask layer regions to form at least two implanted regions in the carrier having different implantation depth profiles.
    Type: Application
    Filed: February 20, 2013
    Publication date: August 21, 2014
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Jens Schneider, Henning Feick, Marcel Heller, Dieter Kaiser
  • Publication number: 20140233005
    Abstract: A method and apparatus for controlling the seed laser in a laser produced plasma (LPP) extreme ultraviolet (EUV) light system are disclosed. In one embodiment, a seed laser generates both pre-pulses and main pulses which are amplified and irradiate a target material. The widths of the main pulses are adjusted, for example by the use of an EOM or other optical switch, without adjusting the widths of the pre-pulses, to keep the EUV output energy at a desired level. Only if the main pulse widths are longer or shorter than a desired range is the duty cycle of the laser amplifier adjusted, to keep the main pulse widths in the desired range. Adjusting the main pulse widths in this way before adjusting the pump RF duty cycle allows for less adjustment of the duty cycle, thus causing less adjustment to the pre-pulses.
    Type: Application
    Filed: February 15, 2013
    Publication date: August 21, 2014
    Applicant: CYMER, INC.
    Inventors: James Crouch, Matthew Graham, Robert J. Rafac
  • Patent number: 8810775
    Abstract: An EUV mirror module is disclosed that comprises a substrate with a curved upper surface and a curved electroformed mirror. A self-adjusting bonding material is disposed between the substrate and the electroformed mirror. The bonding material is flowable at a melting temperature and self-adjusts to conformally fill the region between substrate to the electroformed mirror and bond the substrate and the electroformed mirror. The substrate may have at least one cooling channel for cooling the mirror module.
    Type: Grant
    Filed: April 16, 2010
    Date of Patent: August 19, 2014
    Assignee: Media Lario S.R.L.
    Inventor: Jacques Kools
  • Patent number: 8810766
    Abstract: A method and a device are described for producing copies/replica of a volume reflection hologram wherein a holographic film (4) is guided over a drum with polygon cross-section having at least two planar (polygon) master surfaces (3) to each of which at least one master (7) is attached in the circumferential direction, where the film is brought into contact with at least one master hologram (7) and its entire surface is exposed to laser. It is essential that to at least one of the master surfaces (5) with the film guided over it, a laser exposure unit (22) is assigned which allows full exposure of the respective master surface to parallel, coherent laser beams (6), and that the at least one exposure unit (22) follows section wise the rotational movement of the drum by a splitting angle with equal velocity and exposes the corresponding master surface (5) to then be reset quickly again and perform the same angular displacement and exposure together with the next master surface.
    Type: Grant
    Filed: April 7, 2011
    Date of Patent: August 19, 2014
    Assignee: Hologram Industries Research GmbH
    Inventor: Günther Dausmann
  • Patent number: 8810934
    Abstract: The present disclosure relates to a method for the production and/or adjustment of an optical arrangement of a projection illumination system, in which at least one actuator is used to set the position of at least one optical element to be manipulated by moving the optical element incrementally with a specific increment size. The increment size of the movement increments is set as a function of the distance of the optical element from the desired position, with the distance being represented by a distance value. If the distance value is above a first threshold value, a substantially constant increment size is set, while the specific increment size decreases as the distance from the desired position decreases if the distance value is below the first threshold value. Alternatively or additionally, a pre-specified deviation from the specific increment size and/or from a pre-specified increment size change rate results in a warning signal and/or ceasing of the movement.
    Type: Grant
    Filed: March 17, 2011
    Date of Patent: August 19, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Karl-Eugen Aubele, Erich Merz, Thorsten Rassel
  • Publication number: 20140226140
    Abstract: An improved solid-state laser for generating sub-200 nm light is described. This laser uses a fundamental wavelength between about 1030 nm and 1065 nm to generate the sub-200 nm light. The final frequency conversion stage of the laser creates the sub-200 nm light by mixing a wavelength of approximately 1109 nm with a wavelength of approximately 234 nm. By proper selection of non-linear media, such mixing can be achieved by nearly non-critical phase matching. This mixing results in high conversion efficiency, good stability, and high reliability.
    Type: Application
    Filed: January 31, 2014
    Publication date: August 14, 2014
    Applicant: KLA-Tencor Corporation
    Inventors: Yung-Ho Chuang, J. Joseph Armstrong, Yujun Deng, Justin Dianhuan Liou, Vladimir Dribinski, John Fielden
  • Publication number: 20140226141
    Abstract: Microlithographic illumination system includes individually drivable elements to variably illuminate a pupil surface of the system. Each element deviates an incident light beam based on a control signal applied to the element. The system also includes an instrument to provide a measurement signal, and a model-based state estimator configured to compute, for each element, an estimated state vector based on the measurement signal. The estimated state vector represents: a deviation of a light beam caused by the element; and a time derivative of the deviation. The illumination system further includes a regulator configured to receive, for each element: a) the estimated state vector; and b) target values for: i) the deviation of the light beam caused by the deviating element; and ii) the time derivative of the deviation.
    Type: Application
    Filed: April 17, 2014
    Publication date: August 14, 2014
    Applicant: Carl Zeiss SMT GmbH
    Inventors: Stefan Xalter, Yim-Bun Patrick Kwan, Andras G. Major, Manfred Maul, Johannes Eisenmenger, Damian Fiolka, Jan Horn, Markus Deguenther, Florian Bach, Michael Patra, Johannes Wangler, Michael Layh
  • Patent number: 8804096
    Abstract: An apparatus for wafer edge exposure comprises a first exposure unit and a second exposure unit. The first exposure unit includes a first light source to emit first light of multiple wavelengths, and a first mask to direct the first light toward a first area at an edge portion of a wafer. The second exposure unit includes a second light source to emit second light of a single wavelength, and a second mask to direct the second light toward a second area at the edge portion of the wafer. The second area encloses a transition area that borders the first area under the first mask.
    Type: Grant
    Filed: April 21, 2011
    Date of Patent: August 12, 2014
    Assignee: Macronix International Co., Ltd.
    Inventor: Chin Cheng Yang
  • Publication number: 20140218707
    Abstract: An exposure apparatus which transfers a pattern formed on a mask onto a photosensitive substrate placed in proximity to the mask, the apparatus including an illumination optical device which illuminates the mask with an energy beam, a mask holding device which holds a periphery area of a pattern area of the mask from above, and makes a force at least within a plane parallel to a predetermined plane act on the mask and a substrate holding device which moves along the predetermined plane holding the substrate.
    Type: Application
    Filed: April 4, 2014
    Publication date: August 7, 2014
    Applicant: Nikon Corporation
    Inventor: Yuichi SHIBAZAKI
  • Publication number: 20140218709
    Abstract: A field facet mirror for an illumination optics of a projection exposure apparatus for EUV microlithography transmits a structure of an object arranged in an object field into an image field. The field facet mirror has a plurality of field facets with reflection surfaces. The arrangement of the field facets next to one another spans a base plane. Projections of the reflection surfaces of at least two of the field facets onto the base plane differ with respect to at least one of the following parameters: size, shape, orientation. A field facet mirror results which can ensure a uniform object field illumination with a simultaneously high EUV throughput.
    Type: Application
    Filed: April 11, 2014
    Publication date: August 7, 2014
    Applicant: Carl Zeiss SMT GmbH
    Inventors: Adrian Staicu, Martin Endres
  • Publication number: 20140220494
    Abstract: A pattern generator includes a minor array plate having a mirror, at least one electrode plate disposed over the minor array plate, a lens let disposed over the minor, and at least one insulator layer sandwiched between the mirror array plate and the electrode plate. The electrode plate includes a first conducting layer and a second conducting layer. The lens let has a non-straight sidewall formed in the electrode plate. The pattern generator further includes at least one insulator sandwiched between two electrode plates. The non-straight sidewall can be a U-shaped sidewall or an L-shaped sidewall.
    Type: Application
    Filed: February 1, 2013
    Publication date: August 7, 2014
    Inventor: Chen-Hua Yu
  • Publication number: 20140218703
    Abstract: There is provided an illumination method for illuminating an illumination objective surface by using a light from a light source. The illumination method includes setting control amount for controlling a plurality of optical elements, to control a state of an incident light coming into each of the plurality of optical elements, the plurality of optical elements being arranged in parallel and being capable of controlling the state of the incident light; illuminating the illumination objective surface with the light from the light source via the plurality of optical elements; monitoring integrated energy of the light from the light source; and correcting the control amount for the plurality of optical elements on the basis of a result of the monitoring of the integrated energy.
    Type: Application
    Filed: November 30, 2011
    Publication date: August 7, 2014
    Applicant: NIKON CORPORATION
    Inventor: Yasushi Mizuno
  • Publication number: 20140218704
    Abstract: A catoptric system for EUV lithography includes six freeform reflective surfaces that are specified based on fringe Zernike polynomials. Each of the surfaces is tilted and/or decentered in a meridian plane and with respect to a common axis so that image and object planes are parallel. Rectangular fields can be imaged with image space numerical aperture of at least 0.5.
    Type: Application
    Filed: February 3, 2014
    Publication date: August 7, 2014
    Applicant: Nikon Corporation
    Inventor: David M. Williamson