Illumination Systems Or Details Patents (Class 355/67)
  • Publication number: 20150062550
    Abstract: There is provided a photomask capable of improving alignment accuracy with respective photomasks disposed on the front and rear faces of a substrate. A photomask has a drawing pattern for exposure formed on one face opposing a substrate, a first alignment mark for alignment with a substrate side mark formed on the substrate, the first alignment mark being provided in a region of the one face, the region opposing the substrate when the substrate is retained and the drawing pattern is not formed in the region, and a second alignment mark for alignment with a third alignment mark provided on another photomask, the second alignment mark being provided in a region which does not oppose the substrate when the substrate is retained.
    Type: Application
    Filed: November 10, 2014
    Publication date: March 5, 2015
    Inventors: Shoji TAKANO, Fumihiko MATSUDA, Yoshihiko NARISAWA
  • Patent number: 8970819
    Abstract: A microlithography projection optical system is disclosed. The system can include a plurality of optical elements arranged to image radiation having a wavelength ? from an object field in an object plane to an image field in an image plane. The plurality of optical elements can have an entrance pupil located more than 2.8 m from the object plane. A path of radiation through the optical system can be characterized by chief rays having an angle of 3° or more with respect to the normal to the object plane. This can allow the use of phase shifting masks as objects to be imaged, in particular for EUV wavelengths.
    Type: Grant
    Filed: September 23, 2008
    Date of Patent: March 3, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Hans-Juergen Mann, Wilhelm Ulrich
  • Publication number: 20150055106
    Abstract: The present invention provides methods and apparatus for facilitating the start up of a fuel droplet stream generator. During a start-up phase the fuel droplet stream generator is positioned so that the fuel droplets re emitted downwardly whereby gravity assists in the establishment of the stream. The droplets are monitored using a visualization system and once the stream is determined to have the desired characteristics the stream generator is moved to a second position of steady state use in which the droplet stream is emitted in a horizontal direction.
    Type: Application
    Filed: January 3, 2013
    Publication date: February 26, 2015
    Applicant: ASML Netherlands B.V.
    Inventors: Johan Frederik Dijksman, Johannes Hultermans, Antonius Theodorus Wilhelmus Kempen, Ramin Badie
  • Publication number: 20150055107
    Abstract: An optical element assembly includes a base, and an element unit. The element unit includes (i) an optical element having an element central axis and an element perimeter; and (ii) an element connector assembly that couples the optical element to the base, the element connector assembly including a flexure assembly having an element flexure and a base flexure. A distal end of the element flexure is coupled to the optical element near the element perimeter, a distal end of the base flexure is coupled to the base, and a proximal end of the element flexure is coupled to a proximal end of the base flexure near the element central axis.
    Type: Application
    Filed: August 26, 2014
    Publication date: February 26, 2015
    Inventors: Shane R. Palmer, Michael Binnard
  • Publication number: 20150055112
    Abstract: A lithography apparatus is disclosed, having at least one mirror arrangement which includes a mirror substrate including a front side with a reflecting surface, a side wall, which extends along a circumference of the mirror substrate from a rear side of the mirror substrate, and mounting elements to mount the mirror arrangement on a structural element of the lithography apparatus. The rear side of the mirror substrate and an inner side of the side wall delimit a cavity. Each of the mounting elements is connected to the mirror arrangement at a connection surface. The relation S/D>0.5 is satisfied at least one of the connection surfaces, wherein D denotes a thickness of the side wall at the connection surface and S denotes the length of the shortest path through the mirror material from the centroid of the connection surface to the rear side of the mirror substrate.
    Type: Application
    Filed: November 6, 2014
    Publication date: February 26, 2015
    Inventors: Dirk Schaffer, Wilfried Clauss, Hin-Yiu Anthony Chung
  • Publication number: 20150055108
    Abstract: A mirror (1) for the EUV wavelength range having a reflectivity of greater than 40% for at least one angle of incidence of between 0° and 25° includes a substrate (S) and a layer arrangement, wherein the layer arrangement has at least one non-metallic individual layer (B, H, M), and wherein the non-metallic individual layer (B, H, M) has a doping with impurity atoms of between 10 ppb and 10%, in particular between 100 ppb and 0.1%, providing the non-metallic individual layer (B, H, M) with a charge carrier density of greater than 6*1010 cm?3 and/or an electrical conductivity of greater than 1*10?3 S/m, in particular with a charge carrier density of greater than 6*1013 cm?3 and/or an electrical conductivity of greater than 1 S/m.
    Type: Application
    Filed: September 5, 2014
    Publication date: February 26, 2015
    Inventors: Peter Huber, Gisela Von Blanckenhagen
  • Publication number: 20150055109
    Abstract: A projection objective of a microlithographic projection exposure apparatus contains a plurality of optical elements arranged in N>?2 successive sections A1 to AN of the projection objective which are separated from one another by pupil planes or intermediate image planes. According to the invention, in order to correct a wavefront deformation, at least two optical elements each have an optically active surface locally reprocessed aspherically. A first optical element is in this case arranged in one section Aj, j=1 . . . N and a second optical element is arranged in another section Ak, k=1 . . . N, the magnitude difference |k?j| being an odd number.
    Type: Application
    Filed: October 6, 2014
    Publication date: February 26, 2015
    Inventors: Norbert Wabra, Robert Eder
  • Publication number: 20150055111
    Abstract: A reflective optical element and an EUV lithography appliance containing one such element are provided, the appliance displaying a low propensity to contamination. The reflective optical element has a protective layer system includes at least two layers. The optical characteristics of the protective layer system are between those of a spacer and an absorber, or correspond to those of a spacer. The selection of a material with the smallest possible imaginary part and a real part which is as close to 1 as possible in terms of the refractive index leads to a plateau-type reflectivity course according to the thickness of the protective layer system between two thicknesses d1 and d2. The thickness of the protective layer system is selected in such a way that it is less than d2.
    Type: Application
    Filed: October 31, 2014
    Publication date: February 26, 2015
    Inventors: Johann Trenkler, Hans-Juergen Mann, Udo Nothelfer
  • Publication number: 20150055110
    Abstract: An illumination optical unit for projection lithography illuminates an object field with illumination light. The illumination optical unit has a collector for collecting the emission of a light source for the illumination light. The collector is arranged such that it transfers the illumination light from the light source into an intermediate focus. The illumination optical unit furthermore has a field facet mirror and a pupil facet mirror, each having a plurality of facets. The field facets are imaged into the object field by a transfer optical unit. The illumination optical unit additionally has an individual-mirror array having individual mirrors tiltable in a manner driven individually. The array is arranged upstream of the field facet mirror and downstream of the intermediate focus in an illumination beam path.
    Type: Application
    Filed: October 30, 2014
    Publication date: February 26, 2015
    Inventor: Manfred Maul
  • Patent number: 8964162
    Abstract: An optical assembly has at least one mirror with a mirror body. The latter is carried by a support body, which has a first support body portion and a second support body portion. An at least thermally separating region is arranged between the two support body portions. At least one surface portion of at least one of the support body portions or of a body thermally coupled thereto is modified in such a way that a thermal emission coefficient ?m of the modified surface portion differs from a thermal emission coefficient ?u of the unmodified surface portion by at least 10%. The result is an optical assembly, in which an improved thermal stability is achieved by the predetermining of the thermal emission coefficients.
    Type: Grant
    Filed: September 19, 2011
    Date of Patent: February 24, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Damian Fiolka, Wilfried Clauss
  • Patent number: 8963110
    Abstract: The generation of EUV light includes rotating a cylinder at least partially coated with a plasma-forming target material, directing pulsed illumination to a first set of helically-arranged spots traversing a material-coated portion of the rotating cylinder in a first direction and directing pulsed illumination to a second set of helically-arranged spots traversing the material-coated portion of the rotating cylinder in a second direction, the pulsed illumination being suitable for exciting the plasma-forming target material.
    Type: Grant
    Filed: June 19, 2014
    Date of Patent: February 24, 2015
    Assignee: KLA-Tencor Corporation
    Inventors: Layton Hale, Francis Chilese, Qiang Q. Zhang
  • Publication number: 20150049318
    Abstract: An optical element includes a surface on which a plurality of structures is provided. The plurality of structures is provided to be fluctuated in a random direction from a lattice point at an interval which is equal to or shorter than a wavelength of visible light.
    Type: Application
    Filed: August 11, 2014
    Publication date: February 19, 2015
    Applicant: Sony Corporation
    Inventors: Kazuya Hayashibe, Masamitsu Kageyama, Tokihisa Kaneguchi
  • Publication number: 20150049320
    Abstract: A projection exposure method is disclosed which includes exposing an exposure area of a radiation-sensitive substrate, arranged in an image surface of a projection objective, with at least one image of a pattern of a mask arranged in an object surface of the projection objective in a scanning operation. The scanning operation includes moving the mask relative to an effective object field of the projection objective and simultaneously moving the substrate relative to an effective image field of the projection objective in respective scanning directions. The projection exposure method also includes changing imaging properties of the projection objective actively during the scanning operation according to a given time profile to change dynamically at least one aberration of the projection objective between a beginning and an end of the scanning operation.
    Type: Application
    Filed: September 25, 2014
    Publication date: February 19, 2015
    Inventor: Dirk Juergens
  • Publication number: 20150049314
    Abstract: There is provided an inspection apparatus which inspects a substrate supporting portion configured to support a substrate during an exposure performed by an exposure apparatus. The apparatus includes: a irradiation unit configured to irradiate, with an illumination light beam, a surface of the substrate on which a pattern has been formed by an exposure by the exposure device; a detecting unit configured to detect reflected light from a pattern in the irradiated surface; a focusing state computation unit connected to the detection unit and configured to determine a focusing state of the pattern of the substrate, based on a detection result of the reflected light beam detected by the detection unit; and an inspection unit connected to the focusing state computation unit and configured to inspect the substrate supporting portion based on the focusing state determined by the focusing state computation unit.
    Type: Application
    Filed: October 30, 2014
    Publication date: February 19, 2015
    Inventor: Kazuhiko FUKAZAWA
  • Publication number: 20150049317
    Abstract: The present invention provides a lithography apparatus for transferring a pattern formed on an original to each of a plurality of shot regions on a substrate, comprising a detection unit configured to detect a mark provided in the shot region and a mark provided on the original, and a control unit configured to control alignment between a target shot region and the pattern of the original such that the mark in the target region detected by the detection unit and the mark on the original are shifted by a positional shift amount which is generated between each mark in the shot region and each mark on the original when an overlay error between the shot region and the pattern of the original falls within an allowable range.
    Type: Application
    Filed: August 11, 2014
    Publication date: February 19, 2015
    Inventors: Kazuhiko Mishima, Shinichiro Koga
  • Publication number: 20150049319
    Abstract: A microlithography projection optical system is disclosed. The system can include a plurality of optical elements arranged to image radiation having a wavelength ? from an object field in an object plane to an image field in an image plane. The plurality of optical elements can have an entrance pupil located more than 2.8 m from the object plane. A path of radiation through the optical system can be characterized by chief rays having an angle of 3° or more with respect to the normal to the object plane. This can allow the use of face shifting masks as objects to be imaged, in particular for EUV wavelengths.
    Type: Application
    Filed: September 25, 2014
    Publication date: February 19, 2015
    Inventors: Hans-Juergen Mann, Wilhelm Ulrich
  • Publication number: 20150050813
    Abstract: A lithography apparatus includes: a shield including a shield member having an aperture formed therein and having a first edge and a second edge defining the aperture; a driving mechanism including a rotation mechanism configured to rotate the shield member and a translation mechanism configured to translate the shield member; and a controller configured to control the driving mechanism so as to sequentially perform patterning.
    Type: Application
    Filed: August 6, 2014
    Publication date: February 19, 2015
    Inventor: Kenichiro Mori
  • Publication number: 20150049316
    Abstract: An exposure apparatus includes a light source, an illuminating member, a projecting member, a stage, an inspecting member, and an information processing member. The light source is configured to provide a light in accordance with a pulse event generation (PEG) representing a period of light radiation. The illuminating member is configured to change the light into point lights. The projecting member is configured to project the point lights according to a photoresist shape extending in various directions. The point lights are projected on the stage. The inspecting member is configured to inspect a photoresist pattern formed by the projected point lights. The information processing member is configured to analyze different photoresist patterns corresponding to different PEGs to select one PEG from the different PEGs. The one PEG being associated with a minimum error in the various directions.
    Type: Application
    Filed: March 26, 2014
    Publication date: February 19, 2015
    Applicant: Samsung Display Co., Ltd.
    Inventors: Cha-Dong KIM, Hoon KANG, Chang-Hoon KIM, Sang-Hyun YUN, Jung-In PARK, Woo-Yong SUNG, Ki-Beom LEE, Hi-Kuk LEE, Jae-Hyuk CHANG
  • Patent number: 8958053
    Abstract: A lithographic apparatus comprising a source collector module including a collector, configured to collect radiation from a radiation source; an illuminator configured to condition the radiation collected by the collector and to provide a radiation beam; and a detector arrangement comprising a reflector arrangement disposed in a fixed positional relationship with respect to the illuminator, the reflector arrangement being arranged to reflect radiation from the source collector module; and a sensor arrangement disposed in a fixed positional relationship with respect to the reflector arrangement, the sensor arrangement being configured to measure at least one property of radiation reflected by the reflector, the detector arrangement being configured to determine the location of a far field position of the radiation as a function of at least one property of the radiation reflected by the reflector and measured by the sensor arrangement.
    Type: Grant
    Filed: July 19, 2011
    Date of Patent: February 17, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Michel François Hubert Klaassen, Hermanus Johannes Maria Kreuwel
  • Patent number: 8958052
    Abstract: The technology disclosed relates to improved acousto-optic deflectors (AODs). In particular, it relates to compensation for subtle effects not previously addressed by AOD designers. A shifting center of gravity is described and addressed using advanced power equalization strategies. Denser writing brushes are provided by using a two-dimensional array of beams with corrections for factors such as angle of incidence at the AOD interface.
    Type: Grant
    Filed: November 3, 2011
    Date of Patent: February 17, 2015
    Assignee: Micronic AB
    Inventor: Torbjörn Sandström
  • Publication number: 20150042972
    Abstract: An electrical field is applied through an extreme ultraviolet (EUV) photoresist layer along a direction perpendicular to an interface between the EUV photoresist layer and an underlying layer. Secondary electrons and thermal electrons are accelerated along the direction of the electrical field, and travel with directionality before interacting with the photoresist material for a chemical reaction. The directionality increases the efficiency of electron photoacid capture, reducing the required EUV dose for exposure. Furthermore, this directionality reduces lateral diffusion of the secondary and thermal electrons, and thereby reduces blurring of the image and improves the image resolution of feature edges formed in the EUV photoresist layer. The electrical field may be generated by applying a direct current (DC) and/or alternating current (AC) bias voltage across an electrostatic chuck and a conductive plate placed over the EUV photoresist layer with a hole for passing the EUV radiation through.
    Type: Application
    Filed: October 23, 2014
    Publication date: February 12, 2015
    Inventors: Richard S. Wise, Daniel A. Corliss
  • Publication number: 20150042970
    Abstract: A mirror assembly (32) for directing a beam (28) includes a base (450), and an optical element (454) that includes (i) a mirror (460), (ii) a stage (462) that retains the mirror (460), (iii) a mover assembly (464) that moves the stage (462) and the mirror (460) relative to the base (450), and (v) a thermally conductive medium (466) that is positioned between the stage (462) and the base (450) to transfer heat between the stage (462) and the base (450). The thermally conductive medium (466) has a thermal conductivity that is greater than the thermal conductivity of air. The thermally conductive medium (466) can include an ionic fluid or a liquid metal.
    Type: Application
    Filed: March 7, 2013
    Publication date: February 12, 2015
    Applicant: NIKON CORPORATION
    Inventors: Christopher Margeson, Travis Bow
  • Publication number: 20150042971
    Abstract: A method of performing nanolithography is disclosed, comprising use of an optical printing head that enables a super-resolution lithographic exposures compatible with conventional optical lithographic processes. The super-resolution exposures are carried out using light directed onto a data recording medium using plasmonic structures, and in particular using plasmonic structures using specially designed super-resolution apertures, of which the “bow-tie” and “C-aperture” are examples. These specially designed apertures create small but bright images in the near-field transmission pattern. A printing head comprising an array of these apertures is held in close proximity to a data recording medium. A data processing system is provided to re-interpret the data to be patterned into a set of modulation signals used to drive the multiple individual channels and the multiple exposures.
    Type: Application
    Filed: September 22, 2014
    Publication date: February 12, 2015
    Inventors: Franklin Mark Schellenberg, Keith Edward Bennett
  • Patent number: 8953147
    Abstract: An illumination optical apparatus capable of forming a pupil intensity distribution of desired shape and illuminance and, in turn, capable of realizing illumination conditions of great variety. The apparatus has a spatial light modulation unit composed of a first spatial light modulator and a second spatial light modulator arranged in an order of incidence of light, and a distribution forming optical system to form a predetermined light intensity distribution on an illumination pupil, based on a beam having traveled via the first spatial light modulator and the second spatial light modulator. The first spatial light modulator has a plurality of first optical elements which are two-dimensionally arranged and postures of which each are individually controlled. The second spatial light modulator has a plurality of second optical elements which are two-dimensionally arranged in correspondence to the first optical elements and postures of which each are individually controlled.
    Type: Grant
    Filed: March 1, 2012
    Date of Patent: February 10, 2015
    Assignee: Nikon Corporation
    Inventor: Kouji Muramatsu
  • Patent number: 8953146
    Abstract: An exposure apparatus is provided with: a conveying device that conveys the subject to be exposed in a given direction; a spatial light modulating device having a plurality of light modulating elements, which are composed of an electro-optical crystalline material and arranged at least in one row in a direction intersecting a conveying direction of the subject to be exposed; an optical beam shaping device that limits the width of light emitted from each light modulating element in the conveying direction to a predetermined width; and a control device that on/off-controls light transmitted through the spatial light modulating device so as to generate a predetermined pattern. The light modulating element is formed tilted by a predetermined angle with respect to an axis parallel to the conveying direction. The control device shifts the optical beam shaping device in the conveying direction.
    Type: Grant
    Filed: August 10, 2011
    Date of Patent: February 10, 2015
    Assignee: V Technology Co., Ltd.
    Inventors: Michinobu Mizumura, Koichiro Fukaya, Tetsuo Ando
  • Publication number: 20150036114
    Abstract: The invention relates to an optoelectronic module (112), more particularly to an optoelectronic chip-on-board module (114). The optoelectronic module (112) comprises a substrate (116), wherein the substrate (116) has a planar design. Furthermore, the optoelectronic module (112) comprises a plurality of optoelectronic components (118) that are arranged on the substrate (116). The optoelectronic module (110) further comprises at least one optical system (120) applied onto the substrate (114), more particularly a microoptical system having a plurality of microoptical elements. The optical system (120) comprises at least one primary optical system (124) that is adjacent to the optoelectronic components (116) and at least one secondary optical system (138).
    Type: Application
    Filed: July 5, 2012
    Publication date: February 5, 2015
    Applicant: HERAEUS NOBLELIGHT GMBH
    Inventors: Susanne Schadt, Michael Peil, Harald Maiweg
  • Publication number: 20150036115
    Abstract: Illumination optical unit for EUV projection lithography guides illumination light to an object field. The illumination optical unit has a first facet mirror, which comprises a multiplicity of individual mirrors which can be switched between at least two tilt positions. A second facet mirror of the illumination optical unit is arranged downstream of the first facet mirror in the beam path of the illumination light. The second facet mirror has a plurality of facets, which respectively contribute to imaging a group of the individual mirrors of the first facet mirror into the object field via a group mirror illumination channel. The images of the groups are superposed on one another in the object field. At least some of the individual mirrors belong to at least two different groups of the individual mirror groups, which are respectively associated with a dedicated second facet via a dedicated group mirror illumination channel.
    Type: Application
    Filed: October 17, 2014
    Publication date: February 5, 2015
    Inventor: Michael Patra
  • Patent number: 8947634
    Abstract: An apparatus for supporting an optical element is provided. The apparatus includes a lens cell (1003) and a plurality of fingers (1000) coupled to the lens cell. Each finger includes a base (1012) configured to be coupled to the optical element when mounted therein, first (1006a) and second (1006b) flexures coupled at first respective ends to and extending from the base (1012) at a divergence angle between about 75 and 165 degrees, and a mounting member (1008) configured to couple together second respective ends of the first and second flexures. The mounting member thereby couples the base (1012) to the lens cell (1003).
    Type: Grant
    Filed: April 15, 2009
    Date of Patent: February 3, 2015
    Assignee: ASML Holding N.V.
    Inventors: Windy Lynn Farnsworth, Sohrab Simani
  • Publication number: 20150029477
    Abstract: An optical system for a microlithographic projection exposure apparatus has an optical axis, at least one mirror arrangement having a plurality of mirror elements that are adjustable independently of one another for altering an angular distribution of the light reflected by the mirror arrangement, and a deflection device which includes, relative to the optical beam path downstream of the mirror arrangement, at least one deflection surface at which a deflection of the optical axis occurs. The at least one deflection surface has refractive power.
    Type: Application
    Filed: July 21, 2014
    Publication date: January 29, 2015
    Inventors: Michael Patra, Johannes Eisenmenger, Markus Schwab
  • Publication number: 20150029479
    Abstract: A projection exposure method for exposing a radiation-sensitive substrate with at least one image of a pattern of a mask is provided. The method includes determining at least one light quiver parameter which describes a property of a light quiver, and controlling the operation of the projection exposure apparatus taking account of the light quiver parameter.
    Type: Application
    Filed: August 11, 2014
    Publication date: January 29, 2015
    Inventors: Volker Graeschus, Toralf Gruner
  • Publication number: 20150029481
    Abstract: A lithographic reticle is illuminated to transfer a pattern to a substrate, inducing distortions due to heating. The distortions are calculated using reference marks in a peripheral portion of the reticle and measuring changes in their relative positions over time. A plurality of cells are defined for which a system of equations can be solved to calculate a dilation of each cell. In an embodiment, each equation relates positions of pairs of marks to dilations of the cells along a line (s, s1, s2) connecting each pair. Local positional deviations can be calculated for a position by combining calculated dilations for cells between at least one measured peripheral mark and the position. Corrections can be applied in accordance with the result of the calculation. Energy may be applied to the patterning device (for example by thermal input or mechanical actuators) to modify a distribution of the local positional deviations.
    Type: Application
    Filed: October 10, 2014
    Publication date: January 29, 2015
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Vitaliy PROSYENTSOV, Willem Jurrianus Venema, Kars Zeger Troost, Adrianus Martinus Van Der Wielen
  • Publication number: 20150029480
    Abstract: An optical system of a microlithographic projection exposure apparatus comprises at least one mirror arrangement, having a plurality of mirror elements which are adjustable independently of one another for varying an angular distribution of the light reflected by the mirror arrangement, a polarization-influencing optical arrangement, by which, for a light beam passing through during the operation of the projection exposure apparatus, different polarization states can be set via the light beam cross section, and a retarder arrangement, which is arranged upstream of the polarization-influencing optical arrangement in the light propagation direction and at least partly compensates for a disturbance of the polarization distribution that is present elsewhere in the projection exposure apparatus, wherein the polarization-influencing optical arrangement has optical components which are adjustable in their relative position with respect to one another, wherein different output polarization distributions can be produc
    Type: Application
    Filed: August 26, 2014
    Publication date: January 29, 2015
    Inventors: Frank Schlesener, Ingo Saenger
  • Publication number: 20150029478
    Abstract: A fuel stream generator comprising a nozzle connected to a fuel reservoir, wherein the nozzle is provided with a gas inlet configured to provide a sheath of gas around fuel flowing along the nozzle is disclosed. Also disclosed are a method of generating fuel droplets and a lithography apparatus incorporating the fuel stream generator.
    Type: Application
    Filed: January 22, 2013
    Publication date: January 29, 2015
    Applicant: ASML Netherlands B.V.
    Inventors: Hendrikus Gijsbertus Schimmel, Dzmitry Labetski
  • Patent number: 8941643
    Abstract: A system includes a computing device that includes a memory configured to store instructions. The computing device also includes a processor configured to execute the instructions to perform a method that includes projecting a first simulated ray from a moveable character within an interactive virtual environment to intersect one or more virtual surfaces represented in the environment. The method also includes rendering a graphical representation of the first simulated ray to identify the absence of an intersection with the one or more virtual surfaces represented in the interactive virtual environment.
    Type: Grant
    Filed: December 28, 2010
    Date of Patent: January 27, 2015
    Assignee: Lucasfilm Entertainment Company Ltd.
    Inventors: Jeremy Randolph, Matthew Bishop, Tzuen Wu, Eric R. Johnston
  • Patent number: 8941813
    Abstract: A method evaluating an imaging performance of a projection optical system according to a polarization state of light in a pupil of an illumination optical system for an exposure apparatus, comprises a representation step of numerically representing the polarization state in the pupil of the illumination optical system, an assumption step of virtually dividing the pupil of the illumination optical system into a plurality of partial regions, each of which includes a light incident region and a light non-incident region, and assuming individual polarization states for the plurality of partial regions so that one polarization state is assumed for the whole of each partial region based on the polarization state numerically represented in the representation step; and a calculation step of calculating the imaging performance of the projection optical system under a condition in which the plurality of partial regions have the polarization states individually assumed in the assumption step.
    Type: Grant
    Filed: February 10, 2009
    Date of Patent: January 27, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventor: Tadashi Arai
  • Publication number: 20150022798
    Abstract: A raster arrangement includes first and second types of raster elements which have different bundle-influencing effects. There is a distance step between a first raster area and a second raster area. The first raster area comprises a raster element of the first raster element type. The second raster area includes a raster element of the second raster element type. The raster arrangement is configured to be used in a microlithography illumination system.
    Type: Application
    Filed: September 25, 2014
    Publication date: January 22, 2015
    Inventors: Axel Scholz, Frank Schlesener, Nils Haverkamp, Vladimir Davydenko, Michael Gerhard, Gerhard-Wilhelm Ziegler, Mirco Kern, Thomas Bischoff, Thomas Stammler, Stephan Kellner, Manfred Maul, Daniel Walldorf, Igor Hurevich, Markus Deguenther
  • Publication number: 20150022797
    Abstract: Provided is a lithography apparatus which forms a pattern on a substrate that includes a detector configured to detect a mark formed on the substrate; a controller configured to obtain a displacement amount of a position of the mark from a reference position thereof based on an output of the detector; wherein the controller is configured to obtain a representative value of, with respect to each of a plurality of marks associated with each sample shot region on the substrate, a plurality of the displacement amount respectively obtained based on outputs of the detector with respect to a plurality of the sample shot region, and obtain information relating to a form of a shot region on the substrate based on a plurality of the representative value respectively obtained with respect to the plurality of marks.
    Type: Application
    Filed: July 17, 2014
    Publication date: January 22, 2015
    Inventor: Tadaki MIYAZAKI
  • Publication number: 20150022796
    Abstract: An interferometer includes: an optical system configured to generate interfering light by dividing light from a light source, and combining reference light and measurement light; a detector configured to detect the interfering light generated by the optical system; and an optical member configured to give spatial coherence to the light from the light source before the detector detects the light from the light source. The optical member gives higher spatial coherence in a second direction serving as a direction of a line of intersection of a cross section of a beam of the light incident on the optical member, and a plane including optical paths of the light from the light source before being divided by the optical system, the reference light, the measurement light, and the interfering light, than in a first direction perpendicular to the plane.
    Type: Application
    Filed: July 10, 2014
    Publication date: January 22, 2015
    Inventors: Wataru Yamaguchi, Takahiro Matsumoto, Hideki Ina
  • Publication number: 20150022795
    Abstract: A power supply for providing HV power to a lithography illumination source comprising a HV power source arranged to provide the HV power, a HV power transmission line arranged to transmit the HV energy from the HV power source and one or more RF terminations provided on one or more of an input end of the transmission line or an output end of the transmission line. The one or more RF terminations terminate the transmission line to reduce reflection of RF energy at the end of the transmission line.
    Type: Application
    Filed: April 19, 2012
    Publication date: January 22, 2015
    Applicants: Ushio Denki Kabushiki Kaisha, ASML Netherlands B.V.
    Inventors: Martinus Jacobus Coenen, Guido Friedrich Siemons
  • Patent number: 8937709
    Abstract: A stress-reduced reflective optical element for a working wavelength in the soft X-ray and extreme ultraviolet wavelength range includes a first multilayer system (4) of at least two alternating materials (41, 42) having different real parts of the refractive index at the working wavelength on a substrate (2), which exerts a layer stress on the substrate (2), and comprising a second multilayer system (6) of at least two alternating materials (61, 62) on a substrate (2), which exerts an opposed layer stress on the substrate (2) and is arranged between the first multilayer system (4) and the substrate (2), wherein a first (61) of the at least two materials of the second multilayer system (6) is interrupted by layers (62) having a thickness of up to 1 nm of the at least one further material of the second multilayer system (6) at such distances that the first material is present in an amorphous state.
    Type: Grant
    Filed: July 22, 2011
    Date of Patent: January 20, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Joern Weber
  • Patent number: 8937706
    Abstract: A device manufacturing method includes conditioning a beam of radiation using an illumination system. The conditioning includes controlling an array of individually controllable elements and associated optical components of the illumination system to convert the radiation beam into a desired illumination mode, the controlling including allocating different individually controllable elements to different parts of the illumination mode in accordance with an allocation scheme, the allocation scheme selected to provide a desired modification of one or more properties of the illumination mode, the radiation beam or both. The method also includes patterning the radiation beam with a pattern in its cross-section to form a patterned beam of radiation, and projecting the patterned radiation beam onto a target portion of a substrate.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: January 20, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Heine Melle Mulder, Johannes Jacobus Matheus Baselmans, Adrianus Franciscus Petrus Engelen, Markus Franciscus Antonius Eurlings, Hendrikus Robertus Marie Van Greevenbroek, Paul Van Der Veen
  • Patent number: 8937708
    Abstract: An illumination optics for microlithography includes an optical assembly for guiding illumination light to an object field to be illuminated in an object plane. The illumination optics can divide an illumination light radiation bundle into a plurality of radiation sub-bundles which are assigned to different illumination angles of the object field illumination. The illumination optics is configured so that at least some of the radiation sub-bundles are superimposed in a superposition plane which is spaced from the object plane and which is not imaged into the object plane in which superposition takes place. This superposition is such that edges of the superimposed radiation sub-bundles coincide at least partially. In some embodiments, a field intensity setting device includes a plurality of adjacent individual diaphragms which at least attenuate illumination light when exposed thereon.
    Type: Grant
    Filed: May 28, 2010
    Date of Patent: January 20, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Martin Endres, Ralf Stuetzle, Jens Ossmann
  • Patent number: 8937707
    Abstract: An interferometric displacement measuring system operable to measure displacements of a movable object of the lithographic apparatus in a first direction using a measurement beam of radiation and a reflector. The reflector being substantially planar and substantially perpendicular to the first direction. Calibration is obtained using a first set of measurements of the angular position movable object. A phase offset in the measurement beam is affected. A second set of measurements of the angular position of the movable object is obtained. The interferometric displacement measuring system is calibrated based on the first and second sets of measurements.
    Type: Grant
    Filed: July 17, 2012
    Date of Patent: January 20, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Maarten Jozef Jansen, Andre Schreuder
  • Publication number: 20150015865
    Abstract: An illumination intensity correction device serves for predefining an illumination intensity over an illumination field of a lithographic projection exposure apparatus. The correction device has a plurality of bar-shaped individual stops arranged alongside one another and having bar axes arranged parallel to one another, which are arranged in a manner lined up alongside one another transversely with respect to the bar axes. The individual stops are displaceable into a predefined intensity correction displacement position at least along their respective bar axis with the aid of a displacement drive individually for the purpose of predefining an intensity correction of an illumination of the illumination field.
    Type: Application
    Filed: September 29, 2014
    Publication date: January 15, 2015
    Inventors: Martin Endres, Toralf Gruner
  • Publication number: 20150015861
    Abstract: The present invention provides a method for calibrating an encoder which includes a scale and a light receiving unit configured to receive light reflected by the scale, and detects a change in relative position between the scale and the light receiving unit, the method comprising a measurement step of measuring a deformation amount of a surface shape of the scale, a specifying step of specifying, based on a measurement result in the measurement step, a range which includes a portion of a surface of the scale, where the deformation amount exceeds a threshold, and within which a detection value of the encoder is corrected, and a determination step of determining a correction value for correcting the detection value of the encoder within the range specified in the specifying step.
    Type: Application
    Filed: July 7, 2014
    Publication date: January 15, 2015
    Inventors: Koichi Sentoku, Yoshinori Ohsaki, Osamu Morimoto, Takahiro Matsumoto
  • Publication number: 20150015859
    Abstract: Provided is a digital exposure device. The digital exposure device includes a stage mounted with a substrate on which a pattern is formed, a first light source, a first head, and a digital micro-mirror device control unit. The stage is configured to move in a scan direction. The first light source is configured to provide a first light. The first head is spaced apart from the stage in a first direction and is configured to receive the first light, to generate at least one spot beam by modulating the first light, and to project the at least one spot beam onto the substrate. The digital micro-mirror device control unit is configured to control an energy of the at least one spot beam generated from the first head to be inversely proportional to a size of the at least spot beam generated from the first head.
    Type: Application
    Filed: December 26, 2013
    Publication date: January 15, 2015
    Applicant: Samsung Display Co., Ltd.
    Inventors: Sanghyun Yun, Cha-Dong Kim, Changhoon Kim, Jung-In Park, Kibeom Lee, Hikuk Lee, Jaehyuk Chang
  • Publication number: 20150015864
    Abstract: A projection exposure apparatus for microlithography for the production of semiconductor components includes at least one optical assembly with at least one optical element which can be actuated in a mechanically controlled manner is mounted in a structure. For carrying out the mechanical actuation, a control signal transmission device and/or an energy transmission device are/is provided, which introduce(s) no parasitic mechanical effects into the optical assembly at least during specific operating states of the projection exposure apparatus.
    Type: Application
    Filed: September 29, 2014
    Publication date: January 15, 2015
    Inventors: Juergen Fischer, Armin Schoeppach, Matthias Orth, Norbert Muehlberger, Thorsten Rassel, Armin Werber, Juergen Huber
  • Publication number: 20150015862
    Abstract: An illumination optical unit for projection lithography illuminates an illumination field with illumination light of a primary light source. The illumination optical unit has a raster arrangement to predefine a shape of the illumination field, a transfer optical unit for the superimposing transfer of the illumination light toward the illumination field, and an illumination angle variation device which deflects the illumination light with different deflection angles. The illumination angle variation device has at least one displaceable illumination angle variation unit to generate a deflection angle for the illumination light.
    Type: Application
    Filed: July 10, 2014
    Publication date: January 15, 2015
    Inventors: Axel Scholz, Michael Patra, Frank Schlesener, Manfred Maul, Wolfgang Emer, Stefanie Hilt
  • Publication number: 20150015863
    Abstract: The present invention provides a method of monitoring the operation of a radiation source fuel droplet stream generator comprising a fuel-containing capillary and a piezo-electric actuator (500). The method comprises analysing the resonance frequency spectrum of a system comprising the fuel-containing capillary and the piezo-electric actuator in particular to look for changes in the resonance frequencies of the acoustic system which may be indicative of a change in the properties of the system requiring investigation.
    Type: Application
    Filed: February 7, 2013
    Publication date: January 15, 2015
    Applicant: ASML Netherland B.V.
    Inventors: Antonius Theodorus Wilhelmus Kempen, John Frederik Dijkusman, Jan Jan Meastrom
  • Patent number: 8934085
    Abstract: A bundle-guiding optical collector collects an emission of a radiation source and forms a radiation bundle from the collected emission. A reflective surface of the collector is the first bundle-forming surface downstream of the radiation source. The reflective surface is formed such that it converts the radiation source into a family of images in a downstream plane. The family of images includes a plurality of radiation source images which are offset to each other in two dimensions (x, y) in a direction perpendicular to the beam direction of the transformed radiation bundle and are arranged relative to each other in a non-rotationally symmetric manner relative to the beam direction of the transformed radiation bundle. The transformed radiation bundle in the downstream plane has a non-rotationally symmetric bundle edge contour relative to the beam direction of the transformed radiation bundle. The result is a collector in which the radiation bundle shape generated by the collector.
    Type: Grant
    Filed: March 17, 2010
    Date of Patent: January 13, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Udo Dinger, Christopher Weth