Illumination Systems Or Details Patents (Class 355/67)
  • Patent number: 8934086
    Abstract: According to an embodiment, the illumination optical system for illuminating an illumination target surface with light from a light source is provided with a polarization converting member which converts a polarization state of incident light so as to form a pupil intensity distribution in a predetermined polarization state on an illumination pupil of the illumination optical system; and a phase modulating member which is arranged in the optical path on the illumination target surface side with respect to the polarization converting member and which transmits light from the pupil intensity distribution so as to convert linearly polarized light thereof polarized in a first direction, into required elliptically polarized light and maintain a polarization state of linearly polarized light polarized in a second direction (X-direction or Y-direction) obliquely intersecting with the first direction, in order to reduce influence of retardation caused by a subsequent optical system between the polarization converting
    Type: Grant
    Filed: June 16, 2011
    Date of Patent: January 13, 2015
    Assignee: Nikon Corporation
    Inventor: Koji Shigematsu
  • Patent number: 8934083
    Abstract: An apparatus and method for detecting extreme ultraviolet (EUV) radiation is disclosed. The apparatus includes a detector having a top surface, a layer of scintillation material on the top surface of the detector, a layer of spacer material on the layer of scintillation material, and a spectral purity filter layer on the layer of spacer material. The method includes directing the EUV radiation through the spectral purity filter layer and through the spacer material layer. The spacer material layer may be disposed between the spectral purity filter layer and a layer of scintillation material. The method further includes detecting scintillation radiation emitted by the scintillation material using the detector.
    Type: Grant
    Filed: March 23, 2010
    Date of Patent: January 13, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Ivan Sergejevitsj Nikolaev, Martijn Wehrens
  • Publication number: 20150009480
    Abstract: An optical element comprises a reflecting coating on a substrate. The reflecting coating contains boron and can have a thickness of more than 50 nm.
    Type: Application
    Filed: July 1, 2014
    Publication date: January 8, 2015
    Inventor: Vitaliy Shklover
  • Publication number: 20150009482
    Abstract: An EUV mask includes a low thermal expansion material (LTEM) substrate, a reflective multilayer (ML) above one surface of the LTEM substrate, and a conductive layer above an opposite surface of the LTEM substrate. A capping layer is provided above the reflective ML, a buffer layer is provided above the capping layer, and an absorption stack is provided above the buffer layer. The absorption stack comprises multiple layers. A multiple patterning process is performed on the absorption stack to form multiple reflective states.
    Type: Application
    Filed: September 19, 2014
    Publication date: January 8, 2015
    Inventors: SHINN-SHENG YU, Yen-Cheng Lu, Anthony Yen
  • Publication number: 20150009478
    Abstract: An exposure head according to the invention includes: a transparent substrate; a plurality of exposure light sources which is formed in the transparent substrate and emits exposure light; at least one condensing lens which condenses the exposure light from the exposure light sources on the exposure object; an imaging unit which is disposed on the opposite side to the condensing lens with the transparent substrate interposed therebetween and images the exposure object; and a control unit which controls the turning on of the exposure light sources based on image information imaged by the imaging unit. An exposure device according to the invention includes the exposure head according to the invention. By virtue of such a configuration, it is possible to improve alignment precision of the exposure object and to improve exposure precision of the exposure object.
    Type: Application
    Filed: September 18, 2014
    Publication date: January 8, 2015
    Inventors: Koichi KAJIYAMA, Michinobu MIZUMURA
  • Publication number: 20150009481
    Abstract: There is disclosed a lithography or exposure apparatus and system, a method of calibrating a lithography or exposure apparatus, and a device manufacturing method. In an embodiment, there is provided an exposure system including a first exposure apparatus and a second exposure apparatus, wherein a data processing device of each of the first and second apparatuses is configured to calculate a control signal using a response function; the combined performance of the programmable patterning device and projection system of each of the first and second apparatuses differs, at least due to manufacturing error; and the response function used by the first apparatus is identical to the response function used by the second apparatus.
    Type: Application
    Filed: January 24, 2013
    Publication date: January 8, 2015
    Applicant: ASML Netherlands B.V
    Inventor: Patricius Aloysius Jacobus Tinnemans
  • Patent number: 8928858
    Abstract: A microlithography projection objective includes an optical element, a manipulator configured to manipulate the optical element, and a control unit configured to control the manipulator. The control unit includes a first device configured to control movement of the manipulator, a memory comprising an upper bound for a range of movement of the manipulator, and a second device configured to generate a merit function based on a square of a root mean square (RMS) of at least one error and configured to minimize the merit function subordinate to the upper bound for the range of movement of the manipulator.
    Type: Grant
    Filed: March 22, 2012
    Date of Patent: January 6, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Boris Bittner, Holger Walter, Matthias Roesch
  • Patent number: 8928856
    Abstract: An exposure apparatus for forming a predetermined pattern on a substrate by using exposure light, includes a stage apparatus which is movable with respect to an optical axis of the exposure light; a light-transmissive member provided at the stage apparatus, wherein a liquid is supplied on an upper surface of the light-transmissive member; and a measurement device which is settable below the light-transmissive member when measurement using the measurement device is performed. Leakage or entrance of a liquid used for exposure into an optical measurement device such as a wavefront aberration measurement device can be prevented, thereby enabling preferable optical adjustment such as imaging performance or optical characteristics.
    Type: Grant
    Filed: December 28, 2009
    Date of Patent: January 6, 2015
    Assignee: Nikon Corporation
    Inventor: Kazuya Ono
  • Publication number: 20150002831
    Abstract: An immersion exposure apparatus exposes a substrate with light via a projection system and liquid, and includes a first stage system having a first movable body to hold a mask, a first detection system that detects a mark of the mask or of the first movable body, a first encoder system having first heads, a second stage system having a second movable body to hold the substrate, a second detection system that detects a mark of the substrate or of the second movable body, and a second encoder system having second heads. In each of an exposure operation and a detection operation by the first detection system, positional information of the first movable body is measured by the first encoder system. In each of the exposure operation and a detection operation by the second detection system, positional information of the second movable body is measured by the second encoder system.
    Type: Application
    Filed: September 18, 2014
    Publication date: January 1, 2015
    Inventor: Yuichi SHIBAZAKI
  • Publication number: 20150002830
    Abstract: Methods and apparatus are provided for promoting the coalescence of fuel droplets in a stream generated by a radiation source droplet stream generator for use in lithographic apparatus. Various examples are described in which a modulating voltage source is applied to the emitter so that the electrical characteristics of the droplets may be controlled. This results in acceleration and deceleration of droplets in the stream which causes them to merge and promotes coalescence.
    Type: Application
    Filed: July 31, 2012
    Publication date: January 1, 2015
    Applicant: ASML Netherlands B.V.
    Inventors: Hendrikus Gijsbertus Schimmel, Johan Frederik Dijksman, Dzmitry Labetski
  • Patent number: 8922750
    Abstract: In a lithographic projection system, a corrective optic in the form of one or more deformable plates is mounted within telecentric image or object space for making one-dimensional or two-dimensional adjustments to magnification. The deformable plate, which can be initially bent under the influence of a preload, contributes weak magnification power that influences the magnification of the projection system by changing the effective focal length in object or image space. An actuator adjusts the amount of curvature through which the deformable plate is bent for regulating the amount of magnification imparted by the deformable plate.
    Type: Grant
    Filed: October 20, 2010
    Date of Patent: December 30, 2014
    Assignee: Corning Incorporated
    Inventors: Robert D. Grejda, Paul Francis Michaloski
  • Patent number: 8922752
    Abstract: A method for alignment processing including making a substrate 4, coated with an aligned film, closely face the photo mask 7 having a first mask pattern group having a plurality of elongated first openings formed at a fixed array pitch and a second mask pattern group provided in parallel with the first mask pattern group and having a plurality of elongated second openings formed at the same pitch as the array pitch of the first openings and moving the substrate in a direction crossing the first and second mask pattern groups, applying P polarizations with different incidence angles ? to the first and second mask pattern groups of the photo mask, and alternately forming, on the aligned film, first and second slit alignment regions in different aligned states.
    Type: Grant
    Filed: October 22, 2012
    Date of Patent: December 30, 2014
    Assignee: V Technology Co., Ltd.
    Inventors: Koichi Kajiyama, Toshinari Arai, Michinobu Mizumura
  • Patent number: 8922749
    Abstract: A lithographic apparatus includes a support to support a patterning device, the patterning device being capable of imparting a radiation beam with a pattern in its cross-section to form a patterned radiation beam, a substrate table constructed to hold a substrate, and a projection system configured to project the patterned radiation beam onto a target portion of the substrate. The lithographic apparatus includes a projection transfer measurement system to measure an optical projection transfer information of the projection system. The projection transfer measurement arrangement includes: an optical device to direct a measurement beam into the projection system during a scanning movement, a detector to detect the measurement beam having passed through the projection system during the scanning movement, and a measurement processor to determine the optical projection transfer information from the detected measurement beam.
    Type: Grant
    Filed: October 27, 2010
    Date of Patent: December 30, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Hans Butler, Erik Roelof Loopstra
  • Patent number: 8922748
    Abstract: An exposure apparatus includes a first optical member from which an exposure beam is emitted; a first object movable at a light-exit side of the first optical member; a second object movable, independently of the first object, at the light-exit side of the first optical member; and a driving unit that moves the first object and the second object in a first direction within a predetermined plane including a first position opposing the first optical member in a state in which the first object and the second object are close to or in contact with each other and in which positions of the first object and the second object in a second direction within the predetermined plane are shifted.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: December 30, 2014
    Assignee: Nikon Corporation
    Inventor: Tohru Kiuchi
  • Patent number: 8922894
    Abstract: A lens unit includes a first lens plate including first lenses arranged in a first direction and configured to form an intermediate image being an inverted reduced image of an object, a second lens plate including second lenses arranged in the first direction and configured to form an inverted enlarged image of the intermediate image on a light reception surface, and a positioning portion being in contact with both a butting portion formed on the first lens plate and a butting portion formed on the second lens plate.
    Type: Grant
    Filed: May 20, 2013
    Date of Patent: December 30, 2014
    Assignee: Oki Data Corporation
    Inventor: Akihiro Yamamura
  • Patent number: 8922753
    Abstract: The invention relates to an optical system for a microlithographic projection exposure apparatus, including an optical system axis (OA) and a polarization-influencing optical arrangement, wherein the polarization-influencing optical arrangement includes a first polarization-influencing element, which is produced from optically uniaxial crystal material and has a first orientation of the optical crystal axis, the-first orientation being perpendicular to the optical system axis and a thickness that varies in the direction of the optical system axis, and a second polarization-influencing element, which is arranged downstream of the first polarization-influencing element in the light propagation direction, is produced from optically uniaxial crystal material and has a second orientation of the optical crystal axis, the second orientation being perpendicular to the optical system axis, and a plane-parallel geometry, wherein the second orientation is different from the first orientation.
    Type: Grant
    Filed: February 13, 2014
    Date of Patent: December 30, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Daniel Kraehmer, Ingo Saenger
  • Patent number: 8923737
    Abstract: A fixing device includes a light irradiation portion that irradiates a recording medium transported to a predefined position with light, a power supply member that is disposed at a position which is irradiated with light with a first intensity of light emitted from the light irradiation portion in a state in which the recording medium is disposed at the predefined position and which is irradiated with light with a second intensity larger than the first intensity of light in a state in which the recording medium is not disposed at the predefined position, and that changes a physical property thereof so as to reduce the supply of power when the power supply member is continuously irradiated with the light with the second intensity for a predefined time or more, and a power supply portion that supplies power to the light irradiation portion via the power supply member.
    Type: Grant
    Filed: April 26, 2013
    Date of Patent: December 30, 2014
    Assignee: Fuji Xerox Co., Ltd.
    Inventor: Ryuichi Sato
  • Patent number: 8921758
    Abstract: The invention relates to a charged-particle multi-beamlet lithography system. The system comprises a beam generator for generating a plurality of beamlets, a beamlet blanker array for patterning the plurality of beamlets, an optical fiber arrangement, and a projection system. The beamlet blanker array comprises a substrate provided with a first area comprising one or more modulators and a second area free of modulators. The beamlet blanker array comprises one or more light sensitive elements, electrically connected to the one or more modulators, and arranged to receive light beams carrying pattern data. The optical fiber arrangement comprises a plurality of optical fibers for guiding the light beams carrying pattern data towards the one or more light sensitive elements. The projection of the optical fiber arrangement onto a surface of the beamlet blanker array in a direction perpendicular to the surface falls entirely within the second area.
    Type: Grant
    Filed: October 26, 2011
    Date of Patent: December 30, 2014
    Assignee: Mapper Lithography IP B.V.
    Inventors: Marco Jan-Jaco Wieland, Teunis Van De Peut, Alexander Hendrik Vincent Van Veen, Remco Jager, Stijn Willem Herman Karel Steenbrink, Ralph Van Melle, Henk Derks
  • Publication number: 20140377692
    Abstract: A mask for microlithography comprises a substrate; a first pattern area on the substrate, the first pattern area comprising a first pattern extending over a first length in a mask scanning direction and a first width in a direction perpendicular to the mask scan direction; and a second pattern area on the substrate adjacent to the first pattern area in the mask scanning direction, the second pattern area comprising a second pattern extending over a second length in the mask scanning direction and a second width identical to the first width in the direction perpendicular to the mask scan direction.
    Type: Application
    Filed: June 13, 2014
    Publication date: December 25, 2014
    Inventors: Michael Patra, Markus Deguenther
  • Publication number: 20140375974
    Abstract: A source-collector device is constructed and arranged to generate a radiation beam, The device includes a target unit constructed and arranged to present a target surface of plasma-forming material; a laser unit constructed and arranged to generate a beam of radiation directed onto the target surface so as to form a plasma from said plasma-forming material; a contaminant trap constructed and arranged to reduce propagation of particulate contaminants generated by the plasma; a radiation collector comprising a plurality of grazing-incidence reflectors arranged to collect radiation emitted by the plasma and form a beam therefrom; and a filter constructed and arranged to attenuate at least one wavelength range of the beam.
    Type: Application
    Filed: January 10, 2013
    Publication date: December 25, 2014
    Inventors: Andrei Mikhailovich Yakunin, Vadim Yevgenyevich Banine, Johannes Hubertus Josephina Moors, Jan Bernard Plechelmus Van Schoot, Martinus Cornelis Maria Verhagen, Olav Waldemar Vladimir Frijns, Vladimir Mihailovitch Krivtsun, Gerardus Hubertus Petrus Maria Swinkels, Michel Riepen, Hendrikus Gijsbertus Schimmel, Viacheslav Medvedev
  • Publication number: 20140374611
    Abstract: The generation of EUV light includes rotating a cylinder at least partially coated with a plasma-forming target material, directing pulsed illumination to a first set of helically-arranged spots traversing a material-coated portion of the rotating cylinder in a first direction and directing pulsed illumination to a second set of helically-arranged spots traversing the material-coated portion of the rotating cylinder in a second direction, the pulsed illumination being suitable for exciting the plasma-forming target material.
    Type: Application
    Filed: June 19, 2014
    Publication date: December 25, 2014
    Inventors: Layton Hale, Francis Chilese, Qiang Q. Zhang
  • Publication number: 20140375973
    Abstract: An immersion lithographic apparatus is provided with a liquid confinement structure which defines at least in part a space configured to contain liquid between the projection system and the substrate. In order to reduce the crossing of the edge of the substrate which is being imaged (which can lead to inclusion of bubbles in the immersion liquid), the cross-sectional area of the space in a plane parallel to the substrate is made as small as possible. The smallest theoretical size is the size of the target portion which is imaged by the projection system. In an embodiment, the shape of a final element of the projection system is also changed to have a similar size and/or shape in a cross-section parallel to the substrate to that of the target portion.
    Type: Application
    Filed: September 11, 2014
    Publication date: December 25, 2014
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Bob STREEFKERK, Sjoerd Nicolaas Lambertus DONDERS, Roelof Frederik DE GRAAF, Christiaan Alexander HOOGENDAM, Hans JANSEN, Martinus Hendrikus Antonius LEENDERS, Paulus Martinus Maria LIEBREGTS, Jeroen Johannes Sophia Maria MERTENS, Jan-Gerard Cornelis VAN DER TOORN, Michel RIEPEN
  • Patent number: 8917380
    Abstract: A lithographic apparatus includes a projection system that includes a plurality of reflective optics. One of the reflective optics is provided with an opening which passes through the reflective optic. The opening is closed by a covering layer that is substantially transparent to EUV radiation. The covering layer prevents contamination from entering the projection system, while allowing patterned EUV radiation to pass from the projection system onto a substrate.
    Type: Grant
    Filed: April 9, 2012
    Date of Patent: December 23, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Erik Roelof Loopstra, Sven Pekelder, Han-Kwang Nienhuys
  • Patent number: 8918742
    Abstract: The present invention relates to methods and systems for designing gauge patterns that are extremely sensitive to parameter variation, and thus robust against random and repetitive measurement errors in calibration of a lithographic process utilized to image a target design having a plurality of features. The method may include identifying most sensitive line width/pitch combination with optimal assist feature placement which leads to most sensitive CD (or other lithography response parameter) changes against lithography process parameter variations, such as wavefront aberration parameter variation. The method may also include designing gauges which have more than one test patterns, such that a combined response of the gauge can be tailored to generate a certain response to wavefront-related or other lithographic process parameters. The sensitivity against parameter variation leads to robust performance against random measurement error and/or any other measurement error.
    Type: Grant
    Filed: July 5, 2012
    Date of Patent: December 23, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Hanying Feng, Yu Cao, Jun Ye, Youping Zhang
  • Patent number: 8917378
    Abstract: A method for exposing a substrate includes arranging, in a direction, pattern areas to projection systems respectively arranged at an interval and each having a magnifying magnification, the pattern areas having area widths each smaller than the interval and greater than a width obtained by dividing an exposure width of the projection system by the magnifying magnification; and successively transferring onto the substrate an image, projected by an associated projection system, of a first pattern provided in a first partial pattern area in each pattern area and an image, projected by the associated projection system, of a second pattern provided in a second partial pattern area in each pattern area and having at least a partial area different from the first partial pattern area in the direction in each pattern area. The occurrence of any stitch error is suppressed and the transfer accuracy is improved.
    Type: Grant
    Filed: October 30, 2008
    Date of Patent: December 23, 2014
    Assignee: Nikon Corporation
    Inventor: Kei Nara
  • Patent number: 8917379
    Abstract: Projection exposure methods, systems, sub-systems and components are disclosed. Methods can include performing a first exposure to image a first sub-pattern of the pattern, where the first sub-pattern includes a plurality of first features extending in a first direction and spaced apart essentially periodically at a predominant periodicity length P in a second direction perpendicular to the first direction. The first exposure can be performed using a multipolar illumination mode that includes at least one substantially dipolar intensity distribution having two illumination poles positioned on a pole orientation axis substantially parallel to the second direction and spaced apart from each other. The poles of the dipolar intensity distribution can each have an azimuthal width defined by a pole angle ?, and a pole area APOLE according to: 0.6<APOLE/AOPT<1.
    Type: Grant
    Filed: June 12, 2009
    Date of Patent: December 23, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Paul Graeupner
  • Publication number: 20140368802
    Abstract: A radiation source (60) suitable for providing a beam of radiation to an illuminator of a lithographic apparatus. The radiation source comprises a nozzle configured to direct a stream of fuel droplets (62) along a trajectory (64) towards a plasma formation location (66). The radiation source is configured to receive a first amount of radiation (68) such that the first amount of radiation is incident on a fuel droplet (62a) at the plasma formation location, and such that the first amount of radiation transfers energy into the fuel droplet to generate a modified fuel distribution (70), the modified fuel distribution having a surface.
    Type: Application
    Filed: August 1, 2012
    Publication date: December 18, 2014
    Applicant: ASML Netherlands B.V.
    Inventors: Andrei Mikhailovich Yakunin, Vladimir Vitalevich Ivanov, Vladimir Mihailovitch Krivtsun, Viacheslav Medvedev, Gerardus, Hubertus, Petrus, Maria Swinkels, Jan, Bernard, Plechelmus Van Schoot
  • Publication number: 20140368803
    Abstract: An illumination optical unit for EUV projection lithography guides illumination light to an illumination field, in which a lithography mask can be arranged. A facet mirror with a plurality of facets guides the illumination light to the illumination field. Respectively one illumination channel which guides an illumination light partial beam is predetermined by one of the facets. Exactly one illumination channel is guided over respectively one of the facets. The illumination optical unit is configured so that, at any time and at any point in the illumination field when the illumination optical unit is in operation, any pairs of illumination light partial beams guided over different illumination channels are incident on this illumination field point at times of incidence, the time difference of which is greater than a coherence duration of the illumination light.
    Type: Application
    Filed: August 25, 2014
    Publication date: December 18, 2014
    Inventors: Michael Patra, Markus Deguenther
  • Publication number: 20140370719
    Abstract: A method of focus measurement of the embodiment irradiates exposure light from a first direction and projects first and second line-and-space patterns on a substrate. Further, exposure light is irradiated from a second direction and third and fourth line-and-space patterns are projected on the substrate. By measuring a distance between the first and third line-and-space patterns on the substrate, a sum of a dislocated amount caused by dislocation of focus and an overlap dislocation amount between the first and third line-and-space patterns is calculated as a first dislocated amount. Further, by measuring a distance between the second and fourth line-and-space patterns on the substrate, an overlap dislocation amount between the second and fourth line-and-space patterns is calculated as a second dislocation amount. Further, based on the first and second dislocation amounts, the focus dislocation amount is calculated.
    Type: Application
    Filed: November 25, 2013
    Publication date: December 18, 2014
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Nobuhiro KOMINE
  • Patent number: 8913227
    Abstract: According to one embodiment, an illumination optical system configured to illuminate an illumination target surface on the basis of light from a light source comprises a distribution forming optical system and a correction unit. The distribution forming optical system forms a pupil intensity distribution on an illumination pupil of the illumination optical system. The correction unit changes an emission direction of a beam according to an incidence position of the beam, in order to correct the pupil intensity distribution. The correction unit is arranged at or near a position of the illumination pupil, or, arranged at or near a position optically conjugate with the illumination pupil.
    Type: Grant
    Filed: February 25, 2011
    Date of Patent: December 16, 2014
    Assignee: Nikon Corporation
    Inventor: Osamu Tanitsu
  • Patent number: 8912514
    Abstract: Techniques for forming a target and for producing extreme ultraviolet light include releasing an initial target material toward a target location, the target material including a material that emits extreme ultraviolet (EUV) light when converted to plasma; directing a first amplified light beam toward the initial target material, the first amplified light beam having an energy sufficient to form a collection of pieces of target material from the initial target material, each of the pieces being smaller than the initial target material and being spatially distributed throughout a hemisphere shaped volume; and directing a second amplified light beam toward the collection of pieces to convert the pieces of target material to plasma that emits EUV light.
    Type: Grant
    Filed: June 20, 2014
    Date of Patent: December 16, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Yezheng Tao, Robert J. Rafac, Igor V. Fomenkov, Daniel J. W. Brown, Daniel J. Golich
  • Publication number: 20140362360
    Abstract: An illumination optical unit for a projection exposure apparatus serves for guiding illumination light toward an illumination field, in which a lithography mask can be arranged. A first facet mirror has a plurality of individual mirrors that provide illumination channels for guiding illumination light partial beams toward the illumination field. The individual mirrors each bear a multilayer reflective coating. A second facet mirror is disposed downstream of the first facet mirror in the beam path of the illumination light. A respective facet of the second facet mirror with at least one of the individual mirrors of the first facet mirror completes the illumination channel for guiding the illumination light partial beam toward the illumination field.
    Type: Application
    Filed: August 21, 2014
    Publication date: December 11, 2014
    Inventor: Ingo Saenger
  • Publication number: 20140362359
    Abstract: The present disclosure relates to a photolithography system having an ambulatory projection and/or detection gratings that provide for high quality height measurements without the use of an air gauge. In some embodiments, the photolithography system has a level sensor having a projection source that generates a measurement beam that is provided to a semiconductor substrate via a projection grating. A detector is positioned to receive a measurement beam reflected from the semiconductor substrate via a detection grating. An ambulatory element selectively varies an orientation of the projection grating and/or the detection grating to improve the measurement of the level sensor. By selectively varying an orientation of the projection and/or detection gratings, erroneous measurements of the level sensor can be eliminated.
    Type: Application
    Filed: June 10, 2013
    Publication date: December 11, 2014
    Inventors: Kuo-Hung Chao, Heng-Hsin Liu, Jui-Chun Peng
  • Publication number: 20140362361
    Abstract: An illumination optical unit for EUV projection lithography serves for guiding illumination light towards an illumination field, in which a lithography mask can be arranged. The illumination optical unit has a first facet mirror having a plurality of individual mirrors. The latter predefine illumination channels for guiding illumination light partial beams towards the illumination field. A second facet mirror of the illumination optical unit is disposed downstream of the first facet mirror and has a plurality of facets. The latter respectively contribute to the imaging of a group of the individual mirrors of the first facet mirror into the object field via a group-mirror illumination channel. The latter comprises the individual-mirror illumination channels of the individual-mirror group. Images of the different individual-mirror groups are superimposed on one another in the object field via the assigned group-mirror illumination channels.
    Type: Application
    Filed: August 21, 2014
    Publication date: December 11, 2014
    Inventor: Michael Patra
  • Patent number: 8908147
    Abstract: A method of determining an overlay error. Measuring an overlay target having process-induced asymmetry. Constructing a model of the target. Modifying the model, e.g., by moving one of the structures to compensate for the asymmetry. Calculating an asymmetry-induced overlay error using the modified model. Determining an overlay error in a production target by subtracting the asymmetry-induced overlay error from a measured overlay error. In one example, the model is modified by varying asymmetry p(n?), p(n?) and the calculating an asymmetry-induced overlay error is repeated for a plurality of scatterometer measurement recipes and the step of determining an overlay error in a production target uses the calculated asymmetry-induced overlay errors to select an optimum scatterometer measurement recipe used to measure the production target.
    Type: Grant
    Filed: July 13, 2011
    Date of Patent: December 9, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Arie Jeffrey Den Boef, Maurits Van Der Schaar, Andreas Fuchs, Martyn John Coogans, Kaustuve Bhattacharyya, Stephen Peter Morgan, Michael Kubis
  • Patent number: 8908149
    Abstract: A lithography method is proposed employing a projection exposure system having a catoptric imaging optics comprising a mirror formed as phase mask in the imaging beam path, wherein the mirror formed as phase mask exhibits continuous regions having dielectric layers provided thereon. Optionally, the regions of the mirror formed as phase mask are configured such that an axial extension of an image of a point (DOF) of the imaging is increased or/and a lateral extension of an image of a point of the imaging is decreased. Preferably multiple exposures of a same radiation sensitive substrate are performed in order to achieve an increase in resolution and scaling down of the manufacturing trace structures (61, 61?), respectively.
    Type: Grant
    Filed: October 14, 2008
    Date of Patent: December 9, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Rolf Freimann
  • Patent number: 8908150
    Abstract: According to the substrate processing method in the embodiments, as a mask substrate used for forming an EUV mask, a mask substrate in which a first film having a first hydrophilicity is formed on one main surface and a resist is applied to another main surface is exposed from a side of the resist. Then, a hydrophilic treatment is performed on a surface of the first film to make the surface of the first film have a second hydrophilicity larger than the first hydrophilicity. Then, a development treatment of the resist is performed with respect to the mask substrate in which the hydrophilic treatment is performed on the surface of the first film to have the second hydrophilicity.
    Type: Grant
    Filed: February 4, 2013
    Date of Patent: December 9, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hideaki Sakurai, Masatoshi Terayama
  • Patent number: 8908146
    Abstract: An actuator system is disclosed having a first actuator (XP1) and a second actuator (XP2) configured to control a relative position of optical components of a lithographic apparatus. The first actuator (XP1) is configured to provide a displacement, parallel to an actuation direction, between a mounting point of a first component of the lithographic apparatus and a second component of the lithographic apparatus. The second actuator (XP2) is configured to provide a displacement parallel to the actuation direction between a reference mass (M1) associated with the second actuator (XP2) and the mounting point of the first component of the lithographic apparatus. The second actuator (XP2) may be driven such that the displacement between the second actuator (XP2) and the reference mass (M1) increases the apparent stiffness of the first actuator (XP1).
    Type: Grant
    Filed: March 9, 2009
    Date of Patent: December 9, 2014
    Assignee: ASML Netherlands B.V.
    Inventor: Hans Butler
  • Patent number: 8908151
    Abstract: An illumination optical system is one for illuminating a surface to be illuminated with light from a light source, which has a distribution forming optical system including an optical integrator and forming a pupil intensity distribution on an illumination pupil located behind the optical integrator, and an optical attenuator arranged on a predetermined surface in an optical path behind the optical integrator and having an attenuation characteristic of varying an attenuation rate depending upon an angle of incidence to the predetermined surface.
    Type: Grant
    Filed: February 5, 2009
    Date of Patent: December 9, 2014
    Assignee: Nikon Corporation
    Inventors: Kouji Muramatsu, Osamu Tanitsu, Hirohisa Tanaka, Masaya Yamamoto, Norio Miyake, Yasushi Mizuno, Ryuji Takaya, Risa Yoshimoto, Hiroyuki Hirota
  • Publication number: 20140354969
    Abstract: In the measurement of properties of a wafer substrate, such as Critical Dimension or overlay a sampling plan is produced (2506) defined for measuring a property of a substrate, wherein the sampling plan comprises a plurality of sub-sampling plans. The sampling plan may be constrained to a predetermined fixed number of measurement points and is used (2508) to control an inspection apparatus to perform a plurality of measurements of the property of a plurality of substrates using different sub-sampling plans for respective substrates, optionally, the results are stacked (2510) to at least partially recompose the measurement results according to the sample plan.
    Type: Application
    Filed: November 22, 2012
    Publication date: December 4, 2014
    Applicant: ASML Netherlands B.V.
    Inventors: Wouter Lodewijk Elings, Franciscus Bernardus Maria Van Bilsen, Christianus Gerardus Maria De Mol, Everhardus Cornelis Mos, Hoite Pieter Theodoor Tolsma, Peter Ten Berge, Paul Jacques Van Wijnen, Leonardus Henricus Marie Verstappen, Gerald Dicker, Reiner Maria Jungblut, Li Chung-Hsun
  • Publication number: 20140354971
    Abstract: Within area where of four heads installed on a wafer stage, heads included in the first head group and the second head group to which three heads each belong that include one head different from each other face the corresponding areas on a scale plate, the wafer stage is driven based on positional information which is obtained using the first head group, as well as obtain the displacement (displacement of position, rotation, and scaling) between the first and second reference coordinate systems corresponding to the first and second head groups using the positional information obtained using the first and second head groups. By using the results and correcting measurement results obtained using the second head group, the displacement between the first and second reference coordinate systems is calibrated, which allows the measurement errors that come with the displacement between areas on scale plates where each of the four heads face.
    Type: Application
    Filed: August 19, 2014
    Publication date: December 4, 2014
    Applicant: NIKON CORPORATION
    Inventor: Yuichi SHIBAZAKI
  • Publication number: 20140354970
    Abstract: A lithographic or exposure apparatus has a projection system and a controller. The projection system includes a stationary part and a moving part. The projection system is configured to project a plurality of radiation beams onto locations on a target. The locations are selected based on a pattern. The controller is configured to control the apparatus to operate in a first mode or a second mode. In the first mode the projection system delivers a first amount of energy to the selected locations. In the second mode the projection system delivers a second amount of energy to the selected locations. The second amount of energy is greater than the first amount of energy.
    Type: Application
    Filed: December 20, 2012
    Publication date: December 4, 2014
    Applicant: ASML Netherlands B.V.
    Inventors: Patricius Aloysius Jacobus Tinnemans, Arno Jan Bleeker
  • Patent number: 8902407
    Abstract: A projection objective of a microlithographic projection exposure apparatus contains a plurality of optical elements arranged in N>?2 successive sections A1 to AN of the projection objective which are separated from one another by pupil planes or intermediate image planes. According to the invention, in order to correct a wavefront deformation, at least two optical elements each have an optically active surface locally reprocessed aspherically. A first optical element is in this case arranged in one section Aj, j=1 . . . N and a second optical element is arranged in another section Ak, k=1 . . . N, the magnitude difference |k?j| being an odd number.
    Type: Grant
    Filed: October 13, 2011
    Date of Patent: December 2, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Norbert Wabra, Robert Eder
  • Publication number: 20140347646
    Abstract: The invention relates to a method for compensating at least one defect of an optical system which comprises introducing an arrangement of local persistent modifications in at least one optical element of the optical system, which does not have pattern elements on one of its optical surfaces, so that the at least one defect is at least partially compensated.
    Type: Application
    Filed: August 12, 2014
    Publication date: November 27, 2014
    Inventors: Vladimir Dmitriev, Ingo Saenger, Frank Schlesener, Markus Mengel, Johannes Ruoff
  • Publication number: 20140347645
    Abstract: An illumination optical system which illuminates a surface to be illuminated on the basis of light from a light source has a first optical path in which a diffractive optical element can be arranged at a first position thereof; a second optical path in which a spatial light modulator with a plurality of optical elements arrayed two-dimensionally and controlled individually can be arranged at a second position thereof; and a third optical path which is an optical path of light having passed via at least one of the first optical path and the second optical path and in which a distribution forming optical system is arranged. The distribution forming optical system forms a predetermined light intensity distribution on an illumination pupil located in the third optical path, based on the light having passed via at least one of the first and second optical paths.
    Type: Application
    Filed: August 11, 2014
    Publication date: November 27, 2014
    Inventor: Osamu TANITSU
  • Publication number: 20140347640
    Abstract: The invention relates to a substrate processing apparatus (10) comprising a support frame (60), a radiation projection system (20) for projecting radiation onto a substrate to be processed, a substrate support structure (30) for supporting the substrate, and a fluid transfer system (150). The radiation projection system comprises a cooling arrangement (130) and is supported by and vibrationally decoupled from the support frame such that vibrations of the support frame above a predetermined maximum frequency are substantially decoupled from the radiation projection system. The fluid transfer system comprises at least one tube (140) fixed at two points (151,152), and comprises a flexible portion. A substantial part of the flexible portion extends over a plane substantially parallel to the substrate support structure surface.
    Type: Application
    Filed: September 12, 2012
    Publication date: November 27, 2014
    Inventors: Jerry Johannes Martinus Peijster, Diederik Geert Femme Verbeek
  • Patent number: 8896814
    Abstract: A catadioptric projection objective has a multiplicity of lenses and at least one concave mirror, and also two deflection mirrors in order to separate a partial beam path running from the object field to the concave mirror from the partial beam path running from the concave mirror to the image field. The deflection mirrors are tilted relative to the optical axis of the projection objective about tilting axes running parallel to a first direction (x-direction). The first deflection mirror is arranged in optical proximity to a first field plane and the second deflection mirror is arranged in optical proximity to a second field plane, which is optically conjugate with respect to the first field plane. A displacement device for the synchronous displacement of the deflection mirrors is provided. The deflection mirrors have different local distributions of their reflection properties in first and second reflection regions, respectively.
    Type: Grant
    Filed: March 27, 2012
    Date of Patent: November 25, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Thomas Schicketanz, Toralf Gruner
  • Patent number: 8896815
    Abstract: A apparatus having a projection system to project a plurality of radiation beams onto a substrate, wherein the plurality of radiation beams includes a first group of one or more radiation beams formed from radiation within a first wavelength range and a second group of one or more radiation beams formed from radiation within a second wavelength range, different from the first wavelength range. The apparatus also has a dispersion element configured such that one or more radiation beams of the first group are incident on the dispersion element at a different angle from the one or more radiation beams of the second group and such that the one or more radiation beams of the first and second group output from the dispersion element are substantially parallel.
    Type: Grant
    Filed: October 30, 2012
    Date of Patent: November 25, 2014
    Assignee: ASML Netherlands B.V.
    Inventor: Heine Melle Mulder
  • Patent number: 8896808
    Abstract: A lithographic apparatus includes an illumination system, an array of individually controllable elements, a projection system, and a control system. The illumination system conditions a radiation beam. The array of individually controllable elements modulates the cross-section of the radiation beam. The projection system projects the modulated radiation beam onto a target portion of a substrate. The control system calculates a pattern that is to be formed on the array of individually controllable elements. The calculation includes an adjustment of the pattern, such that its focal plane is shifted in response to a measured separation between the target portion of the substrate and a focal plane of the projection system.
    Type: Grant
    Filed: June 21, 2006
    Date of Patent: November 25, 2014
    Assignee: ASML Netherlands B.V.
    Inventor: Patricius Aloysius Jacobus Tinnemans
  • Publication number: 20140340664
    Abstract: A projection exposure apparatus (10) for microlithography has a measuring system (50) for measuring an optical element of the projection exposure apparatus. The measuring system (50) includes an irradiation device (54), which is configured to radiate measuring radiation (62) in different directions (64) onto the optical element (20), such that the measuring radiation (62) covers respective optical path lengths (68) within the optical element (20) for the different directions (64) of incidence, a detection device (56), which is configured to measure, for the respective directions (64) of incidence, the respective optical path lengths covered by the measuring radiation (62) in the optical element (20), and an evaluation device, which is configured to determine a spatially resolved distribution of refractive indices in the optical element (20) by computed-tomographic back projection of the respective measured path lengths with respect to the respective directions of incidence.
    Type: Application
    Filed: August 1, 2014
    Publication date: November 20, 2014
    Inventors: Sascha Bleidistel, Joachim Hartjes, Toralf Gruner