Illumination Systems Or Details Patents (Class 355/67)
  • Patent number: 9013673
    Abstract: An immersion lithographic apparatus is provided having a table configured to support a substrate; a sensor or target for a sensor is provided on a surface of the table and a cover is provided extending from an edge of the table; in addition, a liquid displacement device is provided including a gas outlet configured to direct a localized gas flow towards the sensor or target so as to displace liquid from the sensor or target over the cover and off the table.
    Type: Grant
    Filed: November 23, 2010
    Date of Patent: April 21, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Jeroen Gerard Gosen, Koen Cuypers
  • Patent number: 9013679
    Abstract: A collector mirror assembly includes a collector mirror that includes a reflective surface and a hole having an edge. The hole extends through the reflective surface. The assembly also includes a tubular body having an inner surface and an outer surface. The tubular body is constructed and arranged to guide a gas flow in a direction substantially transverse to the reflective surface. The outer surface of the tubular body and the edge of the hole form an opening arranged to guide a further gas flow that diverges with respect the gas flow substantially transverse to the reflective surface.
    Type: Grant
    Filed: March 18, 2011
    Date of Patent: April 21, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Dzmitry Labetski, Erik Roelof Loopstra, Antonius Theodorus Wilhelmus Kempen
  • Patent number: 9013672
    Abstract: An immersion lithographic projection apparatus having a megasonic transducer configured to clean a surface and a method of using megasonic waves through a liquid to clean a surface of an immersion lithographic projection apparatus are disclosed. A flow, desirably a radial flow, is induced in the liquid.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: April 21, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Anthonius Martinus Cornelis Petrus De Jong, Hans Jansen, Marco Koert Stavenga, Peter Franciscus Wanten, Bauke Jansen, Johannes Wilhelmus Jacobus Leonardus Cuijpers, Raymond Gerardus Marius Beeren, Kornelis Tijmen Hoekerd
  • Publication number: 20150104734
    Abstract: A system of an extreme ultraviolet lithography (EUVL) is disclosed. The system includes a mask having first and second reflective regions. The system also includes an illumination to expose the mask to produce a resultant reflected light form the mask. The resultant reflected light is constructed by a first reflected light reflected from the first reflective region and a second reflected light reflected from the second reflective region. The resultant reflected light contains mainly diffracted light. The system also a projection optics box (POB) to collect and direct resultant reflected light to expose a target.
    Type: Application
    Filed: October 11, 2013
    Publication date: April 16, 2015
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Tsung Shih, Shinn-Sheng Yu, Jeng-Horng Chen, Anthony Yen
  • Patent number: 9007560
    Abstract: A radiation source is disclosed that comprises a reservoir that retains a volume of fuel, a nozzle configured to direct a stream of fuel towards a plasma formation location, a laser configured to generate a radiation generating plasma, and a fuel contamination control arrangement. The contamination control arrangement comprises a magnetic field generation element for generating a magnetic field; an electric field generation element for generating an electric field, the magnetic field generation element and the electric field generation element together configured to ensure that the magnetic field and the electric field overlap at a location of contamination within the fuel, and to ensure that lines of flux of the magnetic field and electric field are non-parallel at that location to control movement of the contamination.
    Type: Grant
    Filed: May 25, 2012
    Date of Patent: April 14, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Wilbert Jan Mestrom, Gerardus Hubertus Petrus Maria Swinkels
  • Patent number: 9007559
    Abstract: An EUV collector for collecting and transmitting radiation from an EUV radiation source includes at least one collector mirror for reflecting an emission of the EUV radiation source, which is rotationally symmetric with respect to a central axis. The EUV collector also includes a cooling device for cooling the at least one collector mirror. The cooling device has at least one cooling element, which has a course with respect to the collector mirror, in each case, such that the projection of the course into a plane perpendicular to the central axis has a main direction, which encloses an angle of at most 20° with respect to a predetermined preferred direction. The collector transmits improved quality radiation to illuminate an object field.
    Type: Grant
    Filed: August 22, 2011
    Date of Patent: April 14, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Michael Layh, Udo Dinger
  • Patent number: 9007564
    Abstract: An exposure apparatus includes an irradiating part which irradiates light, a light blocking member including a light condensing part at a side of the light blocking member, where the light condensing part condenses the light, a mask which is spaced apart from the light blocking member by a first distance, and a transporting part which transports a target substrate in a first direction.
    Type: Grant
    Filed: August 2, 2012
    Date of Patent: April 14, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventors: Chang-Hoon Kim, Kab-Jong Seo, Hi-Kuk Lee, Tsunemitsu Torigoe
  • Patent number: 9007565
    Abstract: A spectral purity filter includes a body of material, through which a plurality of apertures extend. The apertures are arranged to suppress radiation having a first wavelength and to allow at least a portion of radiation having a second wavelength to be transmitted through the apertures. The second wavelength of radiation is shorter than the first wavelength of radiation. The body of material is formed from tungsten-molybdenum alloy or a molybdenum-rhenium alloy or a tungsten-rhenium alloy or a tungsten-molybdenum-rhenium alloy.
    Type: Grant
    Filed: December 8, 2010
    Date of Patent: April 14, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Andrei Mikhailovich Yakunin, Vladimir Mihailovitch Krivtsun, Viacheslav Medvedev, Alexandre Kodentsov
  • Patent number: 9007563
    Abstract: An illumination system for illuminating a mask in a scanning microlithographic projection exposure apparatus has an objective with an object plane, at least one pupil surface and an image plane in which a mask can be arranged. A beam deflection array of reflective or transparent beam deflection elements is provided, where each beam deflection element is adapted to deflect an impinging light ray by a deflection angle that is variable in response to a control signal. The beam deflection elements are arranged in or in close proximity to the object plane of the objective.
    Type: Grant
    Filed: February 23, 2010
    Date of Patent: April 14, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Markus Deguenther, Michael Layh
  • Publication number: 20150098070
    Abstract: A light wavelength conversion module including a substrate, a first light wavelength conversion layer, and a first light transmissive layer is provided. The substrate has a light passing-through area and a first light wavelength conversion area. The first light wavelength conversion layer is located at the first light wavelength conversion area and between the first light transmissive layer and the substrate. The first light wavelength conversion layer is suitable for converting a coherent light beam into a first conversion light beam, wherein wavelengths of the coherent light beam and the first conversion light beam are different from each other. An illumination system and a projection apparatus are also provided.
    Type: Application
    Filed: July 31, 2014
    Publication date: April 9, 2015
    Applicant: CORETRONIC CORPORATION
    Inventors: Chi-Tang Hsieh, Chia-Hao Wang, Chi-Hsun Wang, Ko-Shun Chen
  • Publication number: 20150098071
    Abstract: The disclosure relates to an optical arrangement for three-dimensionally patterning a radiation-sensitive material layer, such as a projection exposure apparatus for microlithography. The optical arrangement includes a mask for forming a three-dimensional radiation pattern, a substrate with the radiation-sensitive material layer, and a projection optical unit for imaging the three-dimensional radiation pattern from the mask into the radiation-sensitive material layer. The optical arrangement is designed to compensate for spherical aberrations along the thickness direction of the radiation-sensitive material layer in order to generate a stigmatic image of the three-dimensional radiation pattern.
    Type: Application
    Filed: October 29, 2014
    Publication date: April 9, 2015
    Inventor: Heiko Feldmann
  • Publication number: 20150098069
    Abstract: A system of an extreme ultraviolet lithography (EUVL) is disclosed. The system includes an extreme ultraviolet (EUV) mask with three states. A reflection coefficient is r1, r2 and r3, respectively, wherein r3 is close to (r1+r2)/2. The system also includes a nearly on-axis illumination (ONI) with partial coherence a less than 0.3 to expose the EUV mask to produce diffracted light and non-diffracted light, removing most of the non-diffracted light, and collecting and directing the diffracted light and the not removed non-diffracted light by a projection optics box (POB) to expose a target.
    Type: Application
    Filed: October 7, 2013
    Publication date: April 9, 2015
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yen-Cheng Lu, Shinn-Sheng Yu, Jeng-Horng Chen, Anthony Yen
  • Patent number: 9001304
    Abstract: A projection exposure system (10) for microlithography which includes: a mask holding device (14) holding a mask (18) with mask structures (20) disposed on the mask, a substrate holding device (36) holding a substrate (30), projection optics (26) imaging the mask structures (20) onto the substrate (30) during an exposure process, and a measurement structure (48) disposed in a defined position with respect to a reference element (16) of the projection exposure system (10), which defined position is mechanically uncoupled from the position of the mask holding device (14). The projection exposure system (10) also includes a detector (52) arranged to record an image of the measurement structure (48) imaged by the projection optics (26). The projection exposure system (10) is configured such that during operation of the projection exposure system (10) the imaging of the mask structures (20) and the imaging of the measurement structure (48) take place at the same time by the projection optics (26.
    Type: Grant
    Filed: July 16, 2010
    Date of Patent: April 7, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Ulrich Mueller, Joachim Stuehler, Oswald Gromer, Rolf Freimann, Paul Kaufmann, Bernhard Geuppert
  • Patent number: 9001309
    Abstract: Microlithographic illumination system includes individually drivable elements to variably illuminate a pupil surface of the system. Each element deviates an incident light beam based on a control signal applied to the element. The system also includes an instrument to provide a measurement signal, and a model-based state estimator configured to compute, for each element, an estimated state vector based on the measurement signal. The estimated state vector represents: a deviation of a light beam caused by the element; and a time derivative of the deviation. The illumination system further includes a regulator configured to receive, for each element: a) the estimated state vector; and b) target values for: i) the deviation of the light beam caused by the deviating element; and ii) the time derivative of the deviation.
    Type: Grant
    Filed: April 17, 2014
    Date of Patent: April 7, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Stefan Xalter, Yim-Bun Patrick Kwan, Andras G. Major, Manfred Maul, Johannes Eisenmenger, Damian Fiolka, Jan Horn, Markus Deguenther, Florian Bach, Michael Patra, Johannes Wangler, Michael Layh
  • Patent number: 9001308
    Abstract: A pattern generator includes a minor array plate having a mirror, at least one electrode plate disposed over the minor array plate, a lens let disposed over the minor, and at least one insulator layer sandwiched between the mirror array plate and the electrode plate. The electrode plate includes a first conducting layer and a second conducting layer. The lens let has a non-straight sidewall formed in the electrode plate. The pattern generator further includes at least one insulator sandwiched between two electrode plates. The non-straight sidewall can be a U-shaped sidewall or an L-shaped sidewall.
    Type: Grant
    Filed: February 1, 2013
    Date of Patent: April 7, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Tien-I Bao, Chih Wei Lu, Jaw-Jung Shin, Shy-Jay Lin, Burn Jeng Lin
  • Patent number: 9000403
    Abstract: A method and apparatus for controlling the seed laser in a laser produced plasma (LPP) extreme ultraviolet (EUV) light system are disclosed. In one embodiment, a seed laser generates both pre-pulses and main pulses which are amplified and irradiate a target material. The widths of the main pulses are adjusted, for example by the use of an EOM or other optical switch, without adjusting the widths of the pre-pulses, to keep the EUV output energy at a desired level. Only if the main pulse widths are longer or shorter than a desired range is the duty cycle of the laser amplifier adjusted, to keep the main pulse widths in the desired range. Adjusting the main pulse widths in this way before adjusting the pump RF duty cycle allows for less adjustment of the duty cycle, thus causing less adjustment to the pre-pulses.
    Type: Grant
    Filed: February 15, 2013
    Date of Patent: April 7, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: James Crouch, Matthew Graham, Robert J. Rafac, Daniel Jason Riggs
  • Patent number: 9001310
    Abstract: A lithographic system includes a projection system for projecting an object field through a projection system's pupil onto an image field. The projection system includes an optical element located at the projection system's pupil. The projection system's pupil is manipulable with respect to normalized pupil heights by the optical element. Related processes are also disclosed.
    Type: Grant
    Filed: September 25, 2012
    Date of Patent: April 7, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Dirk Juergens
  • Publication number: 20150092174
    Abstract: An illumination optical unit comprises a first faceted element and a second faceted element having a multiplicity of displaceable micromirrors which can be grouped flexibly to form facets.
    Type: Application
    Filed: December 11, 2014
    Publication date: April 2, 2015
    Inventors: Martin Endres, Michael Patra
  • Publication number: 20150092173
    Abstract: The illumination optical system for illuminating an illumination target surface with light from a light source is provided with a polarization converting member which converts a polarization state of incident light to form a pupil intensity distribution in a predetermined polarization state on an illumination pupil of the illumination optical system; and a phase modulating member which is arranged in the optical path on the illumination target surface side with respect to the polarization converting member and which transmits light from the pupil intensity distribution so as to convert linearly polarized light thereof polarized in a first direction, into required elliptically polarized light and maintain a polarization state of linearly polarized light polarized in a second direction (X-direction or Y-direction) obliquely intersecting with the first direction, in order to reduce influence of retardation caused by a subsequent optical system between the polarization converting member and the illumination targe
    Type: Application
    Filed: December 8, 2014
    Publication date: April 2, 2015
    Inventor: Koji SHIGEMATSU
  • Publication number: 20150092171
    Abstract: A lithographic apparatus includes a patterning device support to support a patterning device to form a patterned radiation beam, the patterning device support including a moveable structure movably arranged with respect to an object, a patterning device holder movably arranged relative to the movable structure and holding the patterning device, an actuator to move the movable structure relative to the object, and an ultra short stroke actuator to move the patterning device holder relative to the movable structure; a substrate support to hold a substrate; and a projection system to project the patterned radiation beam onto the substrate, a position measurement system for measuring a substrate positional error which is a difference between a desired position and an actual position of the substrate relative to a reference object; and a controller to move the actuator and the ultra short stroke actuator based on the substrate positional error.
    Type: Application
    Filed: April 5, 2013
    Publication date: April 2, 2015
    Applicant: ASML NETHERLANDS B.V.
    Inventor: Hans Butler
  • Publication number: 20150092172
    Abstract: The present invention provides an optical apparatus for deforming a reflecting surface of a mirror, comprising a base plate, a plurality of first actuators each configured to apply a force to the surface opposite to the reflecting surface, a plurality of second actuators each having rigidity lower than that of the first actuator, and configured to apply a force to the surface opposite to the reflecting surface, a sensor configured to detect information indicating a driving status of each of the plurality of first actuators, and a control unit configured to control, based on an output of the sensor, driving of each of the plurality of first actuators and driving of each of the plurality of second actuators so that a shape of the reflecting surface is changed to a target shape.
    Type: Application
    Filed: September 22, 2014
    Publication date: April 2, 2015
    Inventors: Choshoku Sai, Kohei Imoto
  • Patent number: 8994922
    Abstract: Provided is a barrel support device for supporting a lens barrel. The barrel support device may include a guide frame configured to laterally support the lens barrel and tilt with the lens barrel, a rotation guide on a first end of the guide frame, the rotation guide being ring shaped and configured attach the lens barrel to the guide frame, and a ring-shaped tilting frame configured to support a second end of the guide frame and tilt the guide frame, wherein the guide frame, the rotation guide, and the tilting frame are configured to allow the lens barrel to pass therethrough.
    Type: Grant
    Filed: October 15, 2010
    Date of Patent: March 31, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang Hyun Park, Sang Joon Hong, Sang Don Jang, Oui Serg Kim, Dong Seok Baek
  • Patent number: 8994921
    Abstract: A scatterometer for measuring a property of a substrate includes a focus sensing arrangement including an arrangement (65) that directs a first beam of radiation onto a focusing arrangement, to be detected by a focus sensor arrangement (61). A focus controller (67) provides control signals representative of the relative positions of the focusing arrangement (15, 69) and the substrate (W), which are required to focus the first beam of radiation on the substrate. An actuator arrangement adjusts the position of the focusing arrangement dependent on the control signals. An irradiation arrangement directs a second beam of radiation onto the substrate using the focusing arrangement, a measurement detector (18) detecting the second radiation beam after reflection from the substrate. A focus offset arrangement adjusts the focus produced by the focusing arrangement to compensate for an offset between the focusing of the first beam of radiation and the second beam of radiation.
    Type: Grant
    Filed: October 12, 2009
    Date of Patent: March 31, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Johan Maria Van Boxmeer, Nicolass Antonius Allegondus Johannes Van Asten, Arnold Sinke, Marnix Aldert Tas, Johannes Cornelis Maria Timmermans, Jascha Van Pommeren
  • Patent number: 8994916
    Abstract: A double-sided maskless exposure system and method consists of light sources which includes two light wavelength segments, maskless optical engines in which a 2D spatial light modulation (spatial light modulator) device, such as DMD, is generating a plurality of pixel array of the pattern, vision system, moving substrate and computer control system. The double-sided maskless exposure system at least includes two maskless optical engines with auto-calibration function which can correct any alignment error in-line. Each optical engine is for each side of the substrate. The optical engines are aligned each other in pairs and are simultaneously patterning on each side of the moving substrate. The system also includes a manipulator for moving, stepping or scanning the optical engines, relative to the substrate so that it can create a contiguous whole image on the both sides of the subject.
    Type: Grant
    Filed: June 15, 2012
    Date of Patent: March 31, 2015
    Inventors: Wenhui Mei, Weichong Du, Lujie Qu
  • Publication number: 20150085270
    Abstract: A reflective imaging optical system which forms, on a second plane, an image of a pattern arranged on a first plane and illuminated with light from an illumination optical system includes a plurality of reflecting mirrors including first and second reflecting mirrors by which the light reflected by the first plane is reflected first, second, respectively. An area on the first plane illuminated with the light from the illumination optical system is an illumination objective area, the illumination objective area is positioned on a predetermined side of an optical axis of the reflecting mirrors, and reflection areas of the first and second reflecting mirrors are positioned on the predetermined side of the optical axis of the reflecting mirrors; and the first and second reflecting mirrors are arranged so that an optical path of the light from the illumination optical system is positioned between the first and second reflecting mirrors.
    Type: Application
    Filed: August 5, 2014
    Publication date: March 26, 2015
    Inventor: Yoshio KAWABE
  • Publication number: 20150085269
    Abstract: The invention relates to a method for locally deforming an optical element for photolithography in accordance with a predefined deformation form comprising: (a) generating at least one laser pulse having at least one laser beam parameter; and (b) directing the at least one laser pulse onto the optical element, wherein the at least one laser beam parameter of the laser pulse is selected to yield the predefined deformation form.
    Type: Application
    Filed: August 21, 2012
    Publication date: March 26, 2015
    Inventors: Vladimir Dmitriev, Uri Stern
  • Publication number: 20150085271
    Abstract: A method is provided for controlling a projection exposure apparatus for microlithography, embodied as a scanner, in the exposure operation, in which a reticle is moved along a scanning axis with respect to a frame of the projection exposure apparatus such that the reticle is scanned by an illumination field radiated thereon, and the radiation of the illumination field is guided onto a wafer after interaction with the reticle in order to generate a desired dose distribution on the wafer. The method comprises the following steps: measuring positional changes of the illumination field in the direction of the scanning axis with respect to the frame of the projection exposure apparatus, and correcting the influence of a measured positional change of the illumination field on the dose distribution on the wafer by modifying at least one operational parameter of the projection exposure apparatus.
    Type: Application
    Filed: December 1, 2014
    Publication date: March 26, 2015
    Inventors: Michael Patra, Markus Deguenther
  • Publication number: 20150085264
    Abstract: An EUV collector is rotated between or during operations of an EUV photolithography system. Rotating the EUV collector causes contamination to distribute more evenly over the collector's surface. This reduces the rate at which the EUV photolithography system loses image fidelity with increasing contamination and thereby increases the collector lifetime. Rotating the collector during operation of the EUV photolithography system can induce convection and reduce the contamination rate. By rotating the collector at sufficient speed, some contaminating debris can be removed through the action of centrifugal force.
    Type: Application
    Filed: September 24, 2013
    Publication date: March 26, 2015
    Inventors: Shang-Chieh Chien, Shu-Hao Chang, Jui-Ching Wu, Tsung-Yu Chen, Tzu-Hsiang Chen, Ming-Chin Chien, Chia-Chen Chen, Jeng-Horng Chen
  • Patent number: 8988653
    Abstract: The invention relates to a lithographic apparatus arranged to transfer a pattern from a patterning device onto a substrate, wherein apparatus is operable to measure higher-order distortions and/or image plane deviations of the patterning device, apparatus comprising: a device for transmission image detection; and a processor configured and arranged to model higher-order distortions of the patterning device using signals received from the device for transmission image detection; wherein patterning device has a main imaging field, and a perimeter and apparatus is operable to model higher-order distortions using signals resultant from alignment structures comprised in perimeter and/or in the imaging field.
    Type: Grant
    Filed: March 18, 2010
    Date of Patent: March 24, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Marcus Adrianus Van De Kerkhof, Robertus Cornelis Martinus De Kruif
  • Publication number: 20150077732
    Abstract: In a drive method for a spatial light modulator, out of a first boundary region and a second boundary region arranged adjacently in a Y-direction and extending in an X-direction, mirror elements arranged at a first pitch not resolved by a projection optical system, in the X-direction in the first boundary region are set in the phase 0, and the other mirror elements therein are set in the phase ?; mirror elements arranged at a second pitch not resolved by the projection optical system, in the X-direction in the second boundary region are set in the phase ?, and the other mirror elements therein are set in the phase 0.
    Type: Application
    Filed: July 15, 2014
    Publication date: March 19, 2015
    Applicant: Nikon Corporation
    Inventors: Soichi Owa, Yoji Watanabe
  • Publication number: 20150076359
    Abstract: An EUV light source includes a rotatable, cylindrically-symmetric element having a surface coated with a plasma-forming target material, a drive laser source configured to generate one or more laser pulses sufficient to generate EUV light via formation of a plasma by excitation of the plasma-forming target material, a set of focusing optics configured to focus the one or more laser pulses onto the surface of the rotatable, cylindrically-symmetric element, a set of collection optics configured to receive EUV light emanated from the generated plasma and further configured to direct the illumination to an intermediate focal point, and a gas management system including a gas supply subsystem configured to supply plasma-forming target material to the surface of the rotatable, cylindrically-symmetric element.
    Type: Application
    Filed: July 18, 2014
    Publication date: March 19, 2015
    Inventors: Alexander Bykanov, Oleg Khodykin, Daniel C. Wack, Konstantin Tsigutkin, Layton Hale, Joseph Walsh, Frank Chilese
  • Patent number: 8982325
    Abstract: The disclosure relates to a microlithographic projection exposure apparatus and a microlithographic projection exposure apparatus, as well as related components, methods and articles made by the methods. The microlithographic projection exposure apparatus includes an illumination system and a projection objective. The illumination system can illuminate a mask arranged in an object plane of the projection objective. The mask can have structures which are to be imaged. The method can include illuminating a pupil plane of the illumination system with light. The method can also include modifying, in a plane of the projection objective, the phase, amplitude and/or polarization of the light passing through that plane. The modification can be effected for at least two diffraction orders in mutually different ways. A mask-induced loss in image contrast obtained in the imaging of the structures can be reduced compared to a method without the modification.
    Type: Grant
    Filed: December 21, 2011
    Date of Patent: March 17, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Michael Totzeck, Aksel Goehnermeier, Wolfgang Singer, Helmut Beierl, Heiko Feldmann, Hans-Juergen Mann, Jochen Hetzler
  • Patent number: 8982318
    Abstract: A lithographic method, among things is disclosed. The method includes using information at least indicative of a desired shape or size of a constituent part of a device to implement the desired shape or size of the constituent part of the device, the desired shape or size being related to a measured property of a layer of material in which the constituent part of the device is to be created, at least a part of the implementation comprising determining a configuration of a plurality of individually controllable elements that would be necessary to create in a radiation beam a pattern which is sufficient to implement the desired shape or size of the constituent part of the device when creating the constituent part of the device.
    Type: Grant
    Filed: September 24, 2009
    Date of Patent: March 17, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Nikolay Nikolaevich Iosad, Cheng-Qun Gui
  • Patent number: 8980533
    Abstract: A supply apparatus for supplying radicals includes: a generator arranged in a chamber and configured to generate radicals by activating a gas supplied into the chamber; and a transport pipe having one end connected to the chamber and the other end from which the generated radicals are discharged. The generator is configured to activate the gas with infrared light radiation accompanied. An inner surface of the transport pipe is formed into a patterned shape by which the infrared light exiting the other end is less than the infrared light entering the one end.
    Type: Grant
    Filed: September 25, 2013
    Date of Patent: March 17, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventor: Shigeru Terashima
  • Patent number: 8982323
    Abstract: A light beam generating apparatus and method of controlling the same is provided. The light beam generating apparatus may include a light source, a beam expander collimating a light beam emitted from the light source, an optical shutter selectively transmitting a light beam transmitted through the beam expander, and a focusing lens focusing a light beam transmitted the optical shutter. The optical shutter in the light generating apparatus can selectively transmit a light beam based on on/off control of the optical shutter on a pixel-by-pixel basis. This may permit one-dimensional, two-dimensional and three-dimensional nano patterns having various periods and directions to be manufactured.
    Type: Grant
    Filed: April 14, 2009
    Date of Patent: March 17, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yoonsun Choi, Jinhwan Kim, Hongseok Lee
  • Patent number: 8982324
    Abstract: Improved low k1 lithographic imaging is disclosed by optimizing or improving an illumination polarization condition. The polarization condition may be a pre-defined spatially varying polarization, or a spatially customized local polarization of bright illumination points based on tracking a value of a desired lithographic response. Several non-traditional polarization conditions, e.g., TM/TE polarization (with or without a central TM region), diagonal polarization, and Y+X polarization (typically for dark field illumination) are disclosed, that offer substantial imaging advantages for specific lithographic problems, especially at low k1 values. The initial polarization definition may be limited to specific fixed polarization angles.
    Type: Grant
    Filed: November 22, 2010
    Date of Patent: March 17, 2015
    Assignee: ASML Holding N.V.
    Inventor: Steven George Hansen
  • Patent number: 8982316
    Abstract: A lithographic projection apparatus is disclosed that comprises a substrate table, a projection system, a liquid confinement structure and a thermal measurement system. The substrate table is configured to support a substrate. The projection system is configured to direct a patterned beam of radiation on to a target portion of the substrate. The liquid confinement structure is configured to at least partly confine an immersion liquid to a space between the projection system and the substrate, the substrate table, or both. The thermal measurement system comprises a thermally sensitive coating. The thermal measurement system is configured to detect the temperature of the immersion liquid in contact with the coating. Also disclosed is a thermal measurement system, a metrology system comprising the thermal measurement system and a dummy wafer for the thermal measurement system.
    Type: Grant
    Filed: June 12, 2009
    Date of Patent: March 17, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Axel Sebastiaan Lexmond, Sjoerd Nicolaas Lambertus Donders, Johannes Henricus Wilhelmus Jacobs, Leonard Ferdinand Gerard Geers
  • Patent number: 8982322
    Abstract: An exposure apparatus provided with an optical system that has one optical element to which at least two of three or more exposure lights are guided, and that is capable of irradiating three or more exposure lights onto exposure fields that respectively correspond to the exposure lights, with the exposure apparatus multiply exposing a predetermined field on a substrate with images of a plurality of patterns that are formed based on the three or more exposure lights that are respectively irradiated onto the three or more exposure fields.
    Type: Grant
    Filed: March 14, 2007
    Date of Patent: March 17, 2015
    Assignee: Nikon Corporation
    Inventor: Hiroyuki Nagasaka
  • Patent number: 8982317
    Abstract: In order to effectively transfer heat from inner layers of an actuator coil to an area external to the coil, heat transfer elements, located proximate to the actuator coil, can be used. In an embodiment, a heat transfer apparatus for the actuator coil can include one or more heat transfer elements located proximate to one or more layers or one or more windings of the actuator coil and a cooling surface located proximate to the one or more heat transfer elements and to the actuator coil. In this configuration, the heat transfer apparatus can transfer heat from inner layers of the actuator coil to the cooling surface, which in turn transfers the heat to an area external to the actuator coil.
    Type: Grant
    Filed: July 31, 2009
    Date of Patent: March 17, 2015
    Assignee: ASML Holding N.V.
    Inventors: Roberto B. Wiener, Pradeep K. Govil, Michael Emerson Brown
  • Patent number: 8982319
    Abstract: A detector to measure a property of radiation is disclosed. The detector comprises first and second luminescent uniaxial crystals each having an optic axis, the optic axis of the first uniaxial crystal being arranged such that it is substantially perpendicular to the optic axis of the second uniaxial crystal.
    Type: Grant
    Filed: March 10, 2011
    Date of Patent: March 17, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Roland Pieter Stolk, Paul Van Der Veen
  • Publication number: 20150070674
    Abstract: Stereolithography machine (1) comprising: a container (2) for a fluid substance (14); a source (3) of predefined radiation (3a) suited to solidify the fluid substance (14); an optical unit (4) suited to direct the radiation (3a) towards a reference surface (5) in the fluid substance (14); a logic control unit (6) configured to control the optical unit (4) and/or the source (3) so as to expose a predefined portion of the reference surface (5). The optical unit (4) comprises a micro-opto-electro-mechanical system (MOEMS) (7) provided with a mirror (8) associated with actuator means (7a) for the rotation around at least two rotation axes (X, Y) incident on and independent of each other, arranged so that it can direct the radiation (3a) towards each point of the reference surface (5) through a corresponding combination of the rotations around the two axes (X, Y).
    Type: Application
    Filed: December 24, 2012
    Publication date: March 12, 2015
    Inventor: Ettore Maurizio Costabeber
  • Publication number: 20150070672
    Abstract: According to one embodiment, a light exposure method includes irradiating light on a reflective projection light exposure mask and irradiating an object to be exposed to light with reflected light by reflecting the light by the reflective projection light exposure mask. The reflective projection light exposure mask includes a substrate and a pattern portion. The substrate has a first surface. The pattern portion has a multilayer reflective film provided on the first surface of the substrate. The pattern portion includes a plurality of protruding patterns and depression patterns. The depression patterns are provided between the plurality of protruding patterns.
    Type: Application
    Filed: January 23, 2014
    Publication date: March 12, 2015
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Takamasa TAKAKI, Satoshi TANAKA
  • Publication number: 20150070675
    Abstract: A fuel supply for an EUV radiation source is disclosed. The fuel supply comprises a reservoir (40) for retaining a volume of fuel (42), a nozzle (32), in fluid connection with the reservoir, and configured to direct a stream of fuel along a trajectory towards a plasma formation location, and a fuel contamination control arrangement (44) which separates contamination particles from the fuel. The contamination control arrangement comprises at least one acoustic filter. The acoustic filter may apply an acoustic standing wave to the fuel. Also disclosed is a method of controlling contamination in such a fuel supply.
    Type: Application
    Filed: February 6, 2013
    Publication date: March 12, 2015
    Applicant: ASML Netherlands B.V.
    Inventors: Wilbert Jan Mestrom, Gerardus Hubertus Petrus Maria Swinkels
  • Publication number: 20150070671
    Abstract: An illumination system of a microlithographic projection exposure apparatus comprises a spatial light modulator which is arranged between a light source and a pupil plane. The spatial light modulator includes an array of micromirrors or other light deflecting elements each being capable of individually deflecting impinging projection into various directions. An irradiance distribution on the mirror array or its envelope has, along a direction X an increasing slope and a decreasing slope. The control unit controls the mirrors in such a way that a first mirror, which is located at the increasing slope, and a second mirror, which is located at the decreasing slope, deflect impinging projection light so that it at least partly overlaps in the pupil plane. This ensures that the angular irradiance distribution at mask level is substantially independent from beam pointing fluctuations.
    Type: Application
    Filed: September 12, 2013
    Publication date: March 12, 2015
    Inventor: Michael Patra
  • Publication number: 20150070673
    Abstract: A photolithography method includes instructing an optical source to produce a pulsed light beam; scanning the pulsed light beam across a wafer of a lithography exposure apparatus to expose the wafer with the pulsed light beam; during scanning of the pulsed light beam across the wafer, receiving a characteristic of the pulsed light beam at the wafer; receiving a determined value of a physical property of a wafer for a particular pulsed light beam characteristic; and based on the pulsed light beam characteristic that is received during scanning and the received determined value of the physical property, modifying a performance parameter of the pulsed light beam during scanning across the wafer.
    Type: Application
    Filed: June 4, 2014
    Publication date: March 12, 2015
    Inventors: Ivan Lalovic, Omar Zurita, Gregory Allen Rechtsteiner, Paolo Alagna, Simon Hsieh, Jason J. Lee, Rostislav Rokitski, Rui Jiang
  • Publication number: 20150062544
    Abstract: Apparatus for and method of temperature compensating a focusing system in having at least one transmissive optical element with a thermal lens. A reflective optical element is introduced having a thermal lens complementary to the thermal lens of the transmissive optical element so that the combined optical characteristics of the two optical elements are substantially temperature independent. Provision can also be made for a change in the absorption of the transmissive optical element over time. The focusing system is especially applicable to systems for generating EUV light for use in semiconductor photolithography.
    Type: Application
    Filed: September 4, 2013
    Publication date: March 5, 2015
    Applicant: Cymer LLC
    Inventor: Alexander I. ERSHOV
  • Publication number: 20150062549
    Abstract: An assembly for a projection exposure apparatus for EUV projection lithography has an illumination optical unit for guiding illumination light to an illumination field, in which a lithography mask can be arranged. The illumination optical unit comprises a first facet mirror, which comprises a plurality of mirror arrays with respectively a plurality of individual mirrors. The individual mirrors provide individual mirror illumination channels for guiding illumination light partial beams to the illumination field. The mirror arrays of the first facet mirror are arranged in an array superstructure. Gaps extend along at least one main direction (HR?) between neighboring ones of the mirror arrays. Furthermore, the illumination optical unit comprises a second facet mirror, which comprises a plurality of facets, which respectively contribute to imaging a group of the individual mirrors of the field facet mirror into the illumination field via a group mirror illumination channel.
    Type: Application
    Filed: October 16, 2014
    Publication date: March 5, 2015
    Inventors: Michael Patra, Stig Bieling, Markus Deguenther, Johannes Wangler
  • Publication number: 20150062547
    Abstract: There is disclosed an exposure apparatus, a device manufacturing method and a method of manufacturing an attenuator. According to an embodiment, the exposure apparatus includes a programmable patterning device configured to provide a plurality of individually controllable radiation beams; a projection system configured to project each of the radiation beams onto a respective location on a target; and an attenuator configured to reduce a standard deviation in maximum radiation flux or background exposure level that can be applied to the target by the radiation beams as a function of position on the target.
    Type: Application
    Filed: February 5, 2013
    Publication date: March 5, 2015
    Applicant: ASML Netherlands B.V.
    Inventors: Martinus Hendricus Henricus Hoeks, Arno Jan Bleeker
  • Publication number: 20150062548
    Abstract: A lithographic apparatus comprises an illumination system configured to condition a radiation beam, a support constructed to support a patterning device, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table constructed to hold a substrate, a projection system configured to project the patterned radiation beam onto a target portion of the substrate, and a sensor. The sensor (S) comprises a photodiode (2) provided on a face (8) of a semiconductor substrate (4) towards which the radiation beam is directed during operation of the lithographic apparatus, a first radiation blocking material (10) being provided around the photodiode on the face of the semiconductor substrate, and a second radiation blocking material (12) is provided on a side (14) of the semiconductor substrate upon which the radiation beam is incident during operation of the lithographic apparatus.
    Type: Application
    Filed: February 21, 2013
    Publication date: March 5, 2015
    Applicant: ASML Netherlands B.V.
    Inventors: Fabrizio Evangelista, Derk Jan Wilfred Klunder, Cornelis Cornelia De Bruijn
  • Publication number: 20150062550
    Abstract: There is provided a photomask capable of improving alignment accuracy with respective photomasks disposed on the front and rear faces of a substrate. A photomask has a drawing pattern for exposure formed on one face opposing a substrate, a first alignment mark for alignment with a substrate side mark formed on the substrate, the first alignment mark being provided in a region of the one face, the region opposing the substrate when the substrate is retained and the drawing pattern is not formed in the region, and a second alignment mark for alignment with a third alignment mark provided on another photomask, the second alignment mark being provided in a region which does not oppose the substrate when the substrate is retained.
    Type: Application
    Filed: November 10, 2014
    Publication date: March 5, 2015
    Inventors: Shoji TAKANO, Fumihiko MATSUDA, Yoshihiko NARISAWA