Illumination Systems Or Details Patents (Class 355/67)
  • Publication number: 20140340663
    Abstract: A lithographic patterning device deformation monitoring apparatus (38) comprising a radiation source (40), an imaging device (42), and a processor (50). The radiation source being configured to direct a plurality of beams of radiation (41) with a predetermined diameter towards a lithographic patterning device (MA) such that they are reflected by the patterning device. The imaging detector configured to detect spatial positions of the radiation beams (41?) after they have been reflected by the patterning device. The processor configured to monitor the spatial positions of the radiation beams and thereby determine the presence of a patterning device deformation. The imaging detector has an collection angle which is smaller than a minimum angle of diffraction of the radiation beams.
    Type: Application
    Filed: August 21, 2012
    Publication date: November 20, 2014
    Applicant: ASML Netherlands B.V.
    Inventors: Luigi Scaccabarozzi, Vadim Yevgenyevich Banine, Bernardus Antonius Johannes Luttikhuis, Roelof Koole, Hendrikus Jan Wondergem, Petrus Carolus Johannes Graat
  • Publication number: 20140340662
    Abstract: In an aspect, a grating light valve module including: a substrate; and a plurality of ribbons disposed on the substrate, wherein each of the ribbons includes an insulating layer, a conductive layer disposed on the insulating layer, and an anti-oxidation layer disposed on the conductive layer is provided.
    Type: Application
    Filed: February 25, 2014
    Publication date: November 20, 2014
    Applicant: SAMSUNG DISPLAY CO., LTD.
    Inventors: Hi Kuk Lee, Tsunemitsu Torigoe, Chang Hoon Kim, Ki Beom Lee, Su-Yeon Sim, Sang Hyun Lee
  • Patent number: 8891061
    Abstract: In order to determine whether an exposure apparatus is outputting the correct dose of radiation and its projection system is focusing the radiation correctly, a test pattern is used on a mask for printing a specific marker onto a substrate. This marker is then measured by an inspection apparatus, such as a scatterometer, to determine whether there are errors in focus and dose and other related properties. The test pattern is configured such that changes in focus and dose may be easily determined by measuring the properties of a pattern that is exposed using the mask. The test pattern may be a 2D pattern where physical or geometric properties, e.g., pitch, are different in each of the two dimensions. The test pattern may also be a one-dimensional pattern made up of an array of structures in one dimension, the structures being made up of at least one substructure, the substructures reacting differently to focus and dose and giving rise to an exposed pattern from which focus and dose may be determined.
    Type: Grant
    Filed: October 2, 2009
    Date of Patent: November 18, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Christian Marinus Leewis, Hugo Augustinus Joseph Cramer, Marcus Adrianus Van De Kerkhof, Johannes Anna Quaedackers, Christine Corinne Mattheus
  • Patent number: 8891062
    Abstract: An illumination optical system which illuminates an illumination surface with light from a light source, includes a divider which divides light from the light source to generate a plurality of light beams, a first reflective integrator which uniformizes light intensity distributions of the plurality of light beams generated by the divider, a condenser which condenses the light beam from the first reflective integrator, a second reflective integrator which receives the light beam from the condenser and illuminates the illumination surface, and an aperture stop arranged between the second reflective integrator and the illumination surface, wherein the divider generates the plurality of light beams so that light beams each having a cross-sectional shape different from a cross-sectional shape of the light provided from the light source to the divider enter a plane on which the aperture stop is arranged.
    Type: Grant
    Filed: December 23, 2011
    Date of Patent: November 18, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventor: Toshihiko Tsuji
  • Patent number: 8890099
    Abstract: A radiation source for generating EUV from a stream of molten metal fuel droplets by LPP (Laser Produced Plasma) or (Dual Laser Plasma) has a fuel droplet generator arranged to provide a stream of droplets of fuel and at least one laser configured to vaporise at least some of said droplets of fuel, whereby radiation is generated. The fuel droplet generator has nozzle, fuel supply line, and reservoir, with a pumping device arranged to supply a flow of molten metal fuel from the reservoir through the fuel feed line and out of the nozzle as a stream of droplets. The fuel droplet generator has a replaceable filter assembly in the fuel feed line, arranged to filter the molten metal fuel in use, to deter nozzle blockage by solid particulate impurities in the fuel.
    Type: Grant
    Filed: July 27, 2012
    Date of Patent: November 18, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Ronald Johannes Hultermans, Antonius Theodorus Wilhelmus Kempen, Bernard Van Essen
  • Patent number: 8891060
    Abstract: The invention relates to an optical system, in particular of a microlithographic projection exposure apparatus, with a polarization-influencing optical arrangement. In accordance with one aspect of the invention, this polarization-influencing optical arrangement comprises: at least one polarization-influencing optical element, which consists of an optically active material with an optical crystal axis and has a thickness profile that varies in the direction of this optical crystal axis, at least one lambda/2 plate; at least one rotator, which causes a rotation of the polarization direction of light incident on the rotator about a constant polarization rotation angle, and an actuator apparatus, by which the lambda/2 plate and the rotator can be moved independently of one another between a position within the optical beam path and a position outside of the optical beam path.
    Type: Grant
    Filed: April 11, 2013
    Date of Patent: November 18, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Ingo Saenger
  • Patent number: 8891057
    Abstract: A projection exposure apparatus for microlithography comprises illumination optics for illuminating object field points of an object field in an object plane is disclosed. The illumination optics have, for each object field point of the object field, an exit pupil associated with the object point, where sin(?) is a greatest marginal angle value of the exit pupil. The illumination optics include a multi-mirror array that includes a plurality of mirrors to adjust an intensity distribution in exit pupils associated to the object field points.
    Type: Grant
    Filed: June 18, 2010
    Date of Patent: November 18, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Michael Layh, Markus Deguenther, Michael Patra, Johannes Wangler, Manfred Maul, Damian Fiolka, Gundula Weiss
  • Patent number: 8891058
    Abstract: The invention relates to an improved EUV generating device having a contamination captor for “catching” contamination and/or debris caused by corrosion or otherwise unwanted reactions of the tin bath.
    Type: Grant
    Filed: July 10, 2009
    Date of Patent: November 18, 2014
    Assignee: Koninklijke Philips N.V.
    Inventors: Christof Metzmacher, Achim Weber
  • Patent number: 8891056
    Abstract: A wafer stage system moves a wafer stage that retains a wafer via a wafer holder along a wafer base. For example, the wafer holder is formed from a material whose density is not uniform, such that the portion that includes the reflecting surface that reflects a measuring beam for position measurement is a high-density portion, and the other portions are low-density portions. Or, the wafer stage is formed from a material whose density is not uniform, such that the portion that includes the surface that constitutes a gas bearing is a high-density portion, and the other portions are low-density portions.
    Type: Grant
    Filed: March 15, 2010
    Date of Patent: November 18, 2014
    Assignee: Nikon Corporation
    Inventor: Yuichi Shibazaki
  • Patent number: 8893058
    Abstract: The present invention relates to a method for tuning lithography systems so as to allow different lithography systems to image different patterns utilizing a known process that does not require a trial and error process to be performed to optimize the process and lithography system settings for each individual lithography system. According to some aspects, the present invention relates to a method for a generic model-based matching and tuning which works for any pattern. Thus it eliminates the requirements for CD measurements or gauge selection. According to further aspects, the invention is also versatile in that it can be combined with certain conventional techniques to deliver excellent performance for certain important patterns while achieving universal pattern coverage at the same time.
    Type: Grant
    Filed: May 14, 2013
    Date of Patent: November 18, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Yu Cao, Hanying Feng, Jun Ye
  • Publication number: 20140333915
    Abstract: A radiation source (SO) suitable for providing a beam of radiation to an illuminator of a lithographic apparatus. The radiation source comprises a nozzle (128) configured to direct a stream of fuel droplets along a trajectory (140) towards a plasma formation location (212). The radiation source is configured to receive a first amount of radiation (205) such that, in use, the first amount of radiation is incident on a fuel droplet at the plasma formation location. The first amount of radiation transfers energy to the fuel droplet to generate a radiation generating plasma that emits a second amount of radiation (132). The radiation source further comprises an alignment detector having a first sensor arrangement (122) and a second sensor arrangement (134). The first sensor arrangement is configured to measure a property of a third amount of radiation (205a) that is indicative of a focus position of the first amount of radiation.
    Type: Application
    Filed: November 2, 2012
    Publication date: November 13, 2014
    Applicant: ASML Netherlands B.V.
    Inventors: Jan Frederik Hoogkamp, Bastiaan Stephanus Hendricus Jansen, Maurice Willem Jozef Etiënne Wijckmans
  • Publication number: 20140333911
    Abstract: A laser irradiation device includes a light source, a laser induced thermal imaging (LITI) mask, and a stage. The light source may emit a laser beam at constant output energy. The LITI mask may be disposed under the light source. The stage may be disposed under the LITI mask, and an acceptor substrate including a pixel area is disposed on the stage. The LITI mask may include a transmissive part and a blocking part. The transmissive part has a plurality of slits. The laser beam passes through the slits. The transmissive part corresponds to the pixel area. The blocking part may reflect and block the laser beam.
    Type: Application
    Filed: October 22, 2013
    Publication date: November 13, 2014
    Applicant: SAMSUNG DISPLAY CO., LTD.
    Inventor: TAE MIN KANG
  • Publication number: 20140333913
    Abstract: Microlithography projection objectives for imaging into an image plane a pattern arranged in an object plane are described with respect to suppressing false light in such projection objectives.
    Type: Application
    Filed: July 22, 2014
    Publication date: November 13, 2014
    Inventors: Heiko Feldmann, Daniel Kraehmer, Jean-Claude Perrin, Julian Kaller, Aurelian Dodoc, Vladimir Kamenov, Olaf Conradi, Toralf Gruner, Thomas Okon, Alexander Epple
  • Publication number: 20140333914
    Abstract: Various non-planar reflective lithography masks, systems using such lithography masks, and methods are disclosed. An embodiment is a lithography mask comprising a transparent substrate, a reflective material, and a reticle pattern. The transparent substrate comprises a curved surface. The reflective material adjoins the curved surface of the transparent substrate, and an interface between the reflective material and the transparent substrate is a reflective surface. The reticle pattern is on a second surface of the transparent substrate. A reflectivity of the reticle pattern is less than a reflectivity of the reflective material. Methods for forming similar lithography masks and for using similar lithography masks are disclosed.
    Type: Application
    Filed: July 25, 2014
    Publication date: November 13, 2014
    Inventors: Chien-Hsuan Liu, Jen-Pan Wang
  • Publication number: 20140333912
    Abstract: A microlithographic projection exposure apparatus has a mirror array having a base body and a plurality of mirror units. Each mirror unit includes a mirror and a solid-state articulation, which has at least one articulation part that connects the mirror to the base body. A control device makes it possible to modify the alignment of the respective mirror relative to the base body. Mutually opposing surfaces of the mirror and of the base body, or of a mirror support body connected to it, are designed as corresponding glide surfaces of a sliding bearing.
    Type: Application
    Filed: May 22, 2014
    Publication date: November 13, 2014
    Applicant: Carl Zeiss SMT GmbH
    Inventors: Sascha Bleidistel, Yim-Bun Patrick Kwan, Florian Bach, Daniel Benz, Severin Waldis, Armin Werber
  • Patent number: 8885146
    Abstract: An exposure system includes a light source emitting a beam along an optical axis that is capable of inducing a multi-photon reaction in a resin. The exposure system further includes a resin undergoing multiphoton reaction, as well as an automated system including a monitor that measures at least one property of the beam selected from power, pulse length, shape, divergence, or position in a plane normal to the optical axis. The monitor generates at least one signal indicative of the property of the beam, and a sub-system adjusts the beam in response to the signal from the monitor.
    Type: Grant
    Filed: December 9, 2013
    Date of Patent: November 11, 2014
    Assignee: 3M Innovative Properties Company
    Inventors: Robert J. DeVoe, Brian J. Gates, Dean Faklis, Robert T. Krasa, Przemyslaw P. Markowicz, Craig R. Sykora
  • Patent number: 8885144
    Abstract: An illumination system having an array of individually controllable optical elements is disclosed, wherein each element is moveable between a plurality of orientations which may be selected in order to form desired illumination modes. The illumination system includes a controller to control orientation of one or more of the elements, the controller configured to apply force to the one or more elements which at least partially compensates for force applied to the one or more elements by a burst of radiation incident upon the one or more elements.
    Type: Grant
    Filed: May 24, 2011
    Date of Patent: November 11, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Bert Jan Claessens, Heine Melle Mulder, Paul Van Der Veen, Wilfred Edward Endendijk, Willem Jan Bouman, Bert Pieter Van Drieënhuizen, Jozef Ferdinand Dymphna Verbeeck, Marc Hendricus Margaretha Dassen, Thijs Johan Henry Hollink
  • Patent number: 8885143
    Abstract: A projection exposure apparatus for microlithography for the production of semiconductor components includes at least one optical assembly with at least one optical element which can be actuated in a mechanically controlled manner is mounted in a structure. For carrying out the mechanical actuation, a control signal transmission device and/or an energy transmission device are/is provided, which introduce(s) no parasitic mechanical effects into the optical assembly at least during specific operating states of the projection exposure apparatus.
    Type: Grant
    Filed: March 24, 2011
    Date of Patent: November 11, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Juergen Fischer, Armin Schoeppach, Matthias Orth, Norbert Muehlberger, Thorsten Rassel, Armin Werber, Juergen Huber
  • Patent number: 8885145
    Abstract: The current invention relates to writing or reading a pattern on a surface, such as in microlithography or inspection of mircrolithographic patterns. In particular, Applicant discloses systems recording or reading images by scanning sparse 2D point arrays or grids across the surface, e.g., multiple optical, electron or particle beams modulated in parallel. The scanning and repeated reading or writing creates a dense pixel or spot grid on the workpiece. The grid may be created by various arrays: arrays of light sources, e.g., laser or LED arrays, by lenslet arrays where each lenslet has its own modulator, by aperture plates for particle beams, or arrays of near-field emitters or mechanical probes. For reading systems, the point grid may be created by a sparse point matrix illumination and/or a detector array where each detector element sees only one spot. The idea behind the use of large arrays is to improve throughput.
    Type: Grant
    Filed: January 4, 2013
    Date of Patent: November 11, 2014
    Assignee: Micronic Mydata AB
    Inventor: Torbjorn Sandstrom
  • Publication number: 20140327896
    Abstract: An optical component comprises a mirror array having a multiplicity of mirror elements, which are each connected to at least one actuator for displacement, a multiplicity of signal lines for the signal-transmitting connection of the actuators to an external, global control/regulating device for predefining an absolute position of the individual mirror elements, and a multiplicity of local regulating devices for regulating the positioning of the mirror elements, wherein the regulating devices are in each case completely integrated into the component.
    Type: Application
    Filed: July 17, 2014
    Publication date: November 6, 2014
    Inventors: Severin Waldis, Matthias Orth, Roger Marc Bostock, Jian Deng, Sebastian Lani, Benedikt Knauf, Christian Kempter
  • Publication number: 20140327897
    Abstract: In order to exposure interference fringes to photoresist and form a desired irregular pattern, it is necessary to know the cycle of the interference fringes in advance. In order to confirm the cycle of the interference fringes beforehand, conventional techniques include observing the formed irregular pattern with the use of a microscope or measuring a diffraction angle of incident light and repeating processes of exposure, development, and observation (measurement) while slightly changing incident angles of light fluxes for the formation of the interference fringes until a desired cycle is confirmed. These operations take considerable amount of time. The fact that it takes considerable amount of time to confirm the interference fringes has not been considered in the conventional techniques. Observation of a moire generated by a standard sample containing a fluorescent sample that can be repeatedly used and adjustment of the cycle of interference fringes reduce time for the adjustment.
    Type: Application
    Filed: December 5, 2012
    Publication date: November 6, 2014
    Applicant: Hitachi High-Technologies Corporation
    Inventors: Naoya Nakai, Yoshisada Ebata
  • Publication number: 20140327895
    Abstract: An optical component comprising a mirror array having a multiplicity of mirror elements, which each have at least one degree of freedom of displacement, and which are each connected to at least one actuator for displacement, has a multiplicity of local regulating devices for damping oscillations of the mirror elements, wherein each of the regulating devices in each case has at least one capacitive sensor having at least one moveable electrode and at least one electrode arranged rigidly relative to the carrying structure.
    Type: Application
    Filed: July 17, 2014
    Publication date: November 6, 2014
    Inventors: Severin Waldis, Marco Jassmann, Caglar Ataman, Roger Marc Bostock, Jian Deng, Sebastian Lani, Benedikt Knauf
  • Patent number: 8879046
    Abstract: A projection exposure apparatus for microlithography includes an optical element actuatable by a first and a second actuator. The actuators are controlled via control intervals in such a way that a minimum deflectability predefined in accordance with a preselectable parameter is guaranteed at every point in time of the control.
    Type: Grant
    Filed: November 20, 2013
    Date of Patent: November 4, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Karl-Eugen Aubele, Sven Ulmer, Klaus Rief, Marco Jassmann
  • Publication number: 20140320836
    Abstract: An apparatus includes an optical system configured to irradiate a surface of a substrate with a beam, a control unit configured to control a position of the irradiation of the beam, and a first measurement unit and a second measurement unit each configured to measure a position of a mark formed on the substrate. The second measurement unit is placed at a position closer to an optical axis of the optical system than the first measurement unit is. Based on a position measurement value measured by the first measurement unit and position measurement values measured at different timings by the second measurement unit, the control unit controls the position of the beam irradiated to the substrate. The position measurement values measured at the different timings are values obtained from the same mark or values obtained from two marks adjacent to a common shot area.
    Type: Application
    Filed: April 28, 2014
    Publication date: October 30, 2014
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Wataru Yamaguchi, Koichi Sentoku, Satoru Oishi, Toshihiko Nishida, Go Tsuchiya, Hideki Ina
  • Publication number: 20140320835
    Abstract: A method for inspecting a spatial light modulator includes: performing such control that in an inspection target area in an array of mirror elements, the mirror elements in a first state in which incident light is given a phase change amount of 0 and the mirror elements in a second state in which incident light is given a phase change amount of 180° (?) become arrayed in a checkered pattern; guiding light having passed the inspection target area to a projection optical system with a resolution limit coarser than a width of an image of one mirror element, to form a spatial image; and inspecting a characteristic of the spatial light modulator from the spatial image. This method allows us to readily perform the inspection of the characteristic of the spatial light modulator having the array of optical elements.
    Type: Application
    Filed: August 30, 2012
    Publication date: October 30, 2014
    Inventor: Tomoharu Fujiwara
  • Publication number: 20140320838
    Abstract: The disclosure generally relates to imaging optical systems that include a plurality of mirrors, which image an object field lying in an object plane in an image field lying in an image plane, where at least one of the mirrors has a through-hole for imaging light to pass through. The disclosure also generally relates to projection exposure installations that include such im-aging optical systems, methods of using such projection exposure installa-tions, and components made by such methods.
    Type: Application
    Filed: July 11, 2014
    Publication date: October 30, 2014
    Inventor: Hans-Juergen Mann
  • Publication number: 20140320837
    Abstract: Apparatus for processing substrates according to a predetermined photolithography process includes a loading station in which the substrates are loaded, a coating station in which the substrates are coated with a photoresist material, an exposing station in which the photoresist coating is exposed to light through a mask having a predetermined pattern to produce a latent image of the mask on the photoresist coating, a developing station in which the latent image is developed, an unloading station in which the substrates are unloaded and a monitoring station for monitoring the substrates with respect to predetermined parameters of said photolithography process before reaching the unloading station.
    Type: Application
    Filed: July 10, 2014
    Publication date: October 30, 2014
    Inventors: Giora DISHON, Moshe FINAROV, Zvi NIREL, Yoel COHEN
  • Publication number: 20140320834
    Abstract: An exposure device includes an exposure member, a positioning member, and a movement restricting member. The exposure member includes multiple light-emitting devices arranged along a first direction, which is an axial direction of an image carrier that rotates. The exposure member exposes the image carrier to light by emitting light in a second direction, which is a direction from the multiple light-emitting devices to the image carrier. The positioning member determines a position of the exposure member in the second direction relative to the image carrier by causing the exposure member to abut against the image carrier in the second direction. The movement restricting member is disposed on an end portion of the exposure member in the first direction and restricts movement of the exposure member relative to the positioning member.
    Type: Application
    Filed: December 11, 2013
    Publication date: October 30, 2014
    Applicant: FUJI XEROX CO., LTD.
    Inventors: Keiichi MIKAMI, Naoyuki TADA, Seiichi TAKAYAMA
  • Publication number: 20140320839
    Abstract: A position detector (16), configured to detect a position of a mark on an object to be detected, comprises an image pickup unit (34), an optical system, a noise obtaining unit (36) and a correction unit (38). The image pickup unit picks up an image of the object to be detected. The optical system forms an image of the object to be detected on an image pickup surface of the image pickup unit. The noise obtaining unit obtains noise information by picking up an image of a region other than the mark using the optical system and the image pickup unit in accordance with the result of adjustment of an optical member included in the optical system. The correction unit corrects, using the noise information obtained by the noise obtaining unit, the image of the mark obtained using the optical system and the image pickup unit.
    Type: Application
    Filed: July 14, 2014
    Publication date: October 30, 2014
    Inventor: Hironori Maeda
  • Patent number: 8873023
    Abstract: An illumination system for microlithography serves to illuminate an illumination field with illumination light of a primary light source. A first raster arrangement has bundle-forming first raster elements which are arranged in a first plane of the illumination system or adjacent to the plane. The first raster arrangement serves to generate a raster arrangement of secondary light sources. A transmission optics serves for superimposed transmission of the illumination light of the secondary light sources into the illumination field. The transmission optics has a second raster arrangement with bundle-forming second raster elements. In each case one of the raster elements of the first raster arrangement is allocated to one of the raster elements of the second raster arrangement for guiding a partial bundle of an entire bundle of illumination light. The first raster arrangement for example has at least two types (I, II, III) of the first raster elements which have different bundle-influencing effects.
    Type: Grant
    Filed: July 19, 2011
    Date of Patent: October 28, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Axel Scholz, Frank Schlesener, Nils Haverkamp, Vladimir Davydenko, Michael Gerhard, Gerhard-Wilhelm Ziegler, Mirco Kern, Thomas Bischoff, Thomas Stammler, Stephan Kellner, Manfred Maul, Daniel Walldorf, Igor Hurevich, Markus Deguenther
  • Patent number: 8873024
    Abstract: The invention concerns an illumination system for use in a stereolithography apparatus, comprising a planar support supporting a two-dimensional array of individually controllable wide-angle light-emitting diodes (LEDs); and a multilens projector array arranged relative to the array, and adapted to project a focused image of the LEDs onto a work area. The multilens projector array is arranged to project light from the LED array having a light emitting edge area image spot size which is smaller than or equal to a light emitting central area image spot size.
    Type: Grant
    Filed: March 8, 2010
    Date of Patent: October 28, 2014
    Assignee: Nederlandse Organisatie voor toegepast-natuurwetenschappelijk Onderzoek TNO
    Inventors: Jacobus Hubertus Theodoor Jamar, Herman Hendrikus Maalderink, Andries Rijfers, Borgert Kruizinga, Jentske D. Kooistra
  • Patent number: 8873025
    Abstract: A collector system for extreme ultraviolet (EUV) radiation includes a collector mirror and a radiation-collection enhancement device (RCED) arranged adjacent an aperture member of an illuminator. The collector mirror directs EUV radiation from an EUV radiation source towards the aperture member. The RCED redirects a portion of the EUV radiation that would not otherwise pass through the aperture of the aperture member or that would not have an optimum angular distribution, to pass through the aperture and to have an improved angular distribution better suited to input specifications of an illuminator. This provides the illuminator with greater amount of useable EUV radiation than would otherwise be available from the collector mirror alone, thereby enhancing the performing of an EUV lithography system that uses such a collector system with a RCED.
    Type: Grant
    Filed: October 17, 2013
    Date of Patent: October 28, 2014
    Assignee: Media Lario S.r.l.
    Inventors: Natale M. Ceglio, Gopal Vasudevan
  • Patent number: 8873021
    Abstract: A debris mitigation system for use in a radiation unit for EUV radiation and/or X-rays, for example, includes a foil trap having several passages allowing a straight passage of radiation, and one or several feed pipes for gas supply of buffer gas to the foil trap. The foil trap has an interior space extending over several of the passages, where the feed pipes open into the interior space.
    Type: Grant
    Filed: June 6, 2006
    Date of Patent: October 28, 2014
    Assignee: Koninklijke Philips N.V.
    Inventors: Gunther Hans Derra, Thomas Krucken
  • Publication number: 20140313499
    Abstract: The present invention provides an exposure apparatus including a projection device including an object whose at least one of a position, an attitude and a shape is regulatable, and configured to project light from a reticle onto a substrate, a regulating device configured to regulate the at least one of the position, the attitude and the shape of the object, and a controller configured to obtain, an amount of regulation of the object by the regulating device, the amount of regulation being used for regulating a first optical characteristic value of the projection device expressed by a square root of a weighted square sum of wavefront aberration coefficients of light projected by the projection device, and to control the regulating device based on the amount of regulation.
    Type: Application
    Filed: June 25, 2013
    Publication date: October 23, 2014
    Inventors: Youzou Fukagawa, Yoshimi Takano, Satoshi Kubo
  • Publication number: 20140313498
    Abstract: A polarization-influencing optical arrangement comprises a first retardation element and a second retardation element.
    Type: Application
    Filed: November 1, 2013
    Publication date: October 23, 2014
    Applicant: Carl Zeiss SMT GmbH
    Inventors: Ingo Saenger, Frank Schlesener
  • Publication number: 20140313497
    Abstract: The invention pertains to an exposure apparatus, a method for controlling a photosensitive element to radiation using the exposure apparatus, and a method for exposing a photosensitive element to radiation. The exposure apparatus includes a base assembly having an exposure bed that supports the photosensitive element, and a lamp housing assembly having two or more lamps. The lamp housing assembly includes an adjustable ballast connected to at least one of the lamps to adjust power received by the one lamp, a sensor for measuring irradiance impinging the exposure bed; and a controller that adjusts the adjustable ballast based on comparison of the measured irradiance to the target irradiance, thereby adjusting the irradiance emitting from the lamp to the target irradiance.
    Type: Application
    Filed: April 3, 2014
    Publication date: October 23, 2014
    Applicant: E I DU PONT DE NEMOURS AND COMPANY
    Inventor: HELMUT LUETKE
  • Publication number: 20140313495
    Abstract: An optical element for use in an exposure apparatus configured to illuminate a mask with an exposure light beam for transferring a pattern on the mask onto a substrate through a projection optical system and to interpose a given liquid in a space between a surface of the substrate and the projection optical system. The optical element includes a first anti-dissolution member provided directly on a surface of a transmissive optical element on the substrate's side of the projection optical system, and a second anti-dissolution member formed on the first anti-dissolution member on the substrate's side of the first anti-dissolution member.
    Type: Application
    Filed: June 30, 2014
    Publication date: October 23, 2014
    Applicant: Nikon Corporation
    Inventor: Takeshi SHIRAI
  • Patent number: 8866110
    Abstract: Techniques are described that enhance power from an extreme ultraviolet light source with feedback from a target material that has been modified prior to entering a target location into a spatially-extended target distribution or expanded target. The feedback from the spatially-extended target distribution provides a nonresonant optical cavity because the geometry of the path over which feedback occurs, such as the round-trip length and direction, can change in time, or the shape of the spatially-extended target distribution may not provide a smooth enough reflectance. However, it may be possible that the feedback from the spatially-extended target distribution provides a resonant and coherent optical cavity if the geometric and physical constraints noted above are overcome. In any case, the feedback can be generated using spontaneously emitted light that is produced from a non-oscillator gain medium.
    Type: Grant
    Filed: March 6, 2014
    Date of Patent: October 21, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Yezheng Tao, Robert Jay Rafac, Igor V. Fomenkov, Daniel J. W. Brown
  • Patent number: 8867020
    Abstract: Methods are disclosed for measuring target structures formed by a lithographic process on a substrate. A grating or other structure within the target is smaller than an illumination spot and field of view of a measurement optical system. The position of an image of the component structure varies between measurements, and a first type of correction is applied to reduce the influence on the measured intensities, caused by differences in the optical path to and from different positions. A plurality of structures may be imaged simultaneously within the field of view of the optical system, and each corrected for its respective position. The measurements may comprise first and second images of the same target under different modes of illumination and/or imaging, for example in a dark field metrology application.
    Type: Grant
    Filed: September 19, 2011
    Date of Patent: October 21, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Hendrik Jan Hidde Smilde, Patrick Warnaar
  • Patent number: 8867021
    Abstract: An illumination system is disclosed that had a plurality of moveable reflective elements and associated actuators which may be configured to form an illumination mode. One or more of the actuators is arranged to move between first, second and third positions, and so move an associated moveable reflective element between first, second and third orientations, the first and second orientations being such that radiation reflected from the moveable reflective element forms part of the illumination mode, and the third orientation being such that radiation reflected from the moveable reflective element does not form part of the illumination mode.
    Type: Grant
    Filed: March 18, 2010
    Date of Patent: October 21, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Gosse Charles De Vries, Edwin Johan Buis, Marinus Johannes Maria Van Dam, Jan Bernard Plechelmus Van Schoot, Fidelus Adrianus Boon, Hermanus Johannes Maria Kreuwel
  • Publication number: 20140307244
    Abstract: An upper limit and a lower limit are preliminarily set for a spectral line width common to a plurality of narrow-band laser devices. When delivered or subjected to maintenance, the narrow-band laser device is caused to laser oscillate to detect its spectral line width before it is used as a light source for semiconductor exposure. A spectral line width adjustment unit provided in the narrow-band laser device is adjusted so that the spectral line width assumes a value between the upper limit and the lower limit. The present invention is able to suppress the variation in spectral line width such as E95 bandwidth caused by machine differences during the manufacture of the laser device, or by replacement or maintenance of the laser device, whereby the quality of integrated circuit patterns formed by the semiconductor exposure tool can be stabilized.
    Type: Application
    Filed: June 27, 2014
    Publication date: October 16, 2014
    Applicants: USHIO DENKI, KOMATSU LTD.
    Inventors: Osamu WAKABAYASHI, Takahito KUMAZAKI, Toru SUZUKI, Masashi SHINBORI, Masaya YOSHINO
  • Publication number: 20140307243
    Abstract: A mirror unit includes: a mirror; a plurality of non-contact type actuators including movable elements and stators, and configured to change a shape of the mirror; a supporting plate to which the stators are fixed; and a structure configured to hold the mirror and the supporting plate. The movable elements are attached to a surface of the mirror that is opposite to an optical surface, and the structure holds the supporting plate via a kinematic mount.
    Type: Application
    Filed: March 28, 2014
    Publication date: October 16, 2014
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Choshoku SAI
  • Publication number: 20140307242
    Abstract: A method for printing a periodic pattern of features into a photosensitive layer includes providing a mask bearing a mask pattern, providing a substrate bearing the layer, arranging the substrate parallel to the mask, providing a number of lasers having a plurality of peak wavelengths, forming from the light a beam for illuminating the mask with a spectral distribution of exposure dose and a degree of collimation, illuminating the mask with the beam such that the light of each wavelength transmitted by the mask pattern forms a range of transversal intensity distributions between Talbot planes and exposes the photosensitive layer to an image component. The separation and the spectral distribution are arranged so that the superposition of the components is equivalent to an average of the range of transversal intensity distributions formed by light of one wavelength and the collimation is arranged so that the features are resolved.
    Type: Application
    Filed: June 1, 2012
    Publication date: October 16, 2014
    Applicant: EULITHA A.G.
    Inventors: Harun Solak, Francis Clube, Christian Dais
  • Publication number: 20140300880
    Abstract: A flow through Micro-Electromechanical Systems (MEMS) package and methods of operating a MEMS packaged using the same are provided. Generally, the package includes a cavity in which the MEMS is enclosed, an inlet through which a fluid is introduced to the cavity during operation of the MEMS and an outlet through which the fluid is removed during operation of the MEMS, wherein the package includes features that promote laminar flow of the fluid across the MEMS. The package and method are particularly useful in packaging spatial light modulators including a reflective surface and adapted to reflect and modulate a light beam incident thereon. Other embodiments are also provided.
    Type: Application
    Filed: June 18, 2014
    Publication date: October 9, 2014
    Applicant: SILICON LIGHT MACHINES CORPORATION
    Inventors: Kenichi Sano, Lars Eng, Alexander Payne, James Hunter
  • Publication number: 20140302442
    Abstract: An embodiment of the present invention relates to a method of fabricating an optical device, the method comprising the steps of: depositing a photoresist layer on a carrier, said photoresist layer containing at least one optical component, determining the position of the at least one optical component inside the photoresist layer before exposing the photoresist layer to a first radiation, said first radiation being capable of transforming the photoresist layer from an unmodified state to a modified state, elaborating a device pattern based on the position of the at least one optical component, and fabricating the elaborated device pattern by locally exposing the photoresist layer to the first radiation and locally transforming the photoresist layer from the unmodified state to the modified state.
    Type: Application
    Filed: April 4, 2013
    Publication date: October 9, 2014
    Inventors: Andreas SCHELL, Joachim Fischer, Johannes Kaschke, Oliver Benson, Martin Wegener
  • Publication number: 20140300876
    Abstract: The invention relates to an optical arrangement comprising: at least one optical element comprising an optical surface and a substrate, wherein the substrate is formed from a material whose temperature-dependent coefficient of thermal expansion at a zero crossing temperature ?TZC=TZC?Tref related to a reference temperature Tref is equal to zero, wherein the optical surface has, during the operation of the optical arrangement, a location-dependent temperature distribution ?T(x, y) that is dependent on a local irradiance (5a), is related to the reference temperature Tref and has an average temperature ?Tav, a minimum temperature ?Tmin and a maximum temperature ?Tmax, wherein the average temperature ?Tav is less than the average value 1/2 (?Tmax+?Tmin) formed from the minimum temperature ?Tmin and the maximum temperature ?Tmax, and wherein the zero crossing temperature ?TZC is greater than the average temperature ?Tav.
    Type: Application
    Filed: June 19, 2014
    Publication date: October 9, 2014
    Inventors: Norman Baer, Toralf Gruner, Ulrich Loering
  • Patent number: 8853590
    Abstract: A device for irradiating a laser beam onto an amorphous silicon thin film formed on a substrate. The device includes: a stage mounting the substrate; a laser oscillator for generating a laser beam; a projection lens for focusing and guiding the laser beam onto the thin film; a reflector for reflecting the laser beam guided onto the thin film; a controller for controlling a position of the reflector; and an absorber for absorbing the laser beam reflected by the reflector.
    Type: Grant
    Filed: November 6, 2007
    Date of Patent: October 7, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Hyun-Jae Kim, Myung-Koo Kang
  • Patent number: 8854603
    Abstract: A gravitation compensator for mounting optical elements in a projection exposure apparatus and a corresponding projection exposure apparatus are disclosed. The gravitation compensator at least partly compensates for the weight force of a mounted optical element and simultaneously enables a change in the position of the optical element without the compensated weight force being altered in an impermissible manner during the change in position. This applies, in particular, to high weight forces which are to be compensated. Furthermore, the gravitation compensator enables use in different atmospheres and the compensation of corresponding aging effects.
    Type: Grant
    Filed: May 19, 2011
    Date of Patent: October 7, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Norbert Muehlberger, Thorsten Rassel, Armin Schoeppach, Juergen Fischer, Matthias Orth
  • Patent number: 8854605
    Abstract: The present invention provides an illumination optical system which illuminates an illumination target surface with a light beam from a light source, the system including a plurality of adjustment units each having one of a reflectance distribution and a transmittance distribution to adjust an incident angle distribution of the light beam which impinges on the illumination target surface, the plurality of adjustment units including an adjustment unit which adjusts differences between light amounts, in a first direction, of incident angle distributions of light beams at a plurality of points on the illumination target surface, and light amounts, in a second direction, thereof, and an adjustment unit which adjusts at least one of light amount differences, in the first direction, of the incident angle distributions of the light beams at the plurality of points on the illumination target surface, and light amount differences, in the second direction, thereof.
    Type: Grant
    Filed: January 11, 2010
    Date of Patent: October 7, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventor: Ken Minoda
  • Patent number: 8854602
    Abstract: A holding device for an optical element in an objective has a mount that is connected to the objective, on the one hand, and at least indirectly to the optical element, on the other hand. Arranged between the mount and the optical element is a reinforcing element whose coefficient of thermal expansion corresponds substantially to the coefficient of thermal expansion of the optical element.
    Type: Grant
    Filed: November 22, 2004
    Date of Patent: October 7, 2014
    Assignees: ASML Netherlands B.V., Carl Zeiss SMT GmbH
    Inventors: Bernhard Gellrich, Andreas Wurmbrand, Jens Kugler, Armin Schoeppach, Christian Zengerling, Stephane Bruynooghe