Inspection Of Flaws Or Impurities Patents (Class 356/237.1)
  • Patent number: 11060845
    Abstract: Targets, target elements and target design method are provided, which comprise designing a target structure to have a high contrast above a specific contrast threshold to its background in polarized light while having a low contrast below the specific contrast threshold to its background in non-polarized light. The targets may have details at device feature scale and be compatible with device design rules yet maintain optical contrast when measured with polarized illumination and thus be used effectively as metrology targets. Design variants and respective measurement optical systems are likewise provided.
    Type: Grant
    Filed: October 28, 2019
    Date of Patent: July 13, 2021
    Assignee: KLA Corporation
    Inventors: Eran Amit, Barry Loevsky, Andrew Hill, Amnon Manassen, Nuriel Amir, Vladimir Levinski, Roie Volkovich
  • Patent number: 11040500
    Abstract: Systems and methods are provided for thermal inspection of tape layup. One embodiment is a method for performing inspection of a tape layup. The method comprises laying up tape onto a surface of a laminate, applying heat to tack the tape to the surface, and generating thermographic images of the tape as applied to the surface.
    Type: Grant
    Filed: March 4, 2019
    Date of Patent: June 22, 2021
    Assignee: The Boeing Company
    Inventors: Tyler Holmes, Amanda Hansen, Steven K. Brady
  • Patent number: 11041714
    Abstract: A high throughput and high resolution method for characterizing objects is based on scanning their surfaces with a fast spinning probing beam of electromagnetic radiation concurrently with relatively slow object motion. A characterization apparatus comprises a guiding system that directs a primary beam of electromagnetic radiation onto the surface of a characterized object. An actuator repositions the object. An analytical system measures characteristic parameters of secondary electromagnetic radiation instigated by the primary beam of electromagnetic radiation from the object. A register system records the measured characteristic parameters synchronously with instantaneous coordinates of beam spots at which the secondary electromagnetic radiation is instigated. A compact system of probing beam spinning enables fabrication of inexpensive characterization tools with small dimensions.
    Type: Grant
    Filed: September 4, 2019
    Date of Patent: June 22, 2021
    Inventors: Vassili Peidous, Nina Peydus
  • Patent number: 11016180
    Abstract: The present disclosure relates to methods and systems that improve the dynamic range of LIDAR systems. An example system includes a plurality of single-photon photodetectors and at least one additional photodetector monolithically integrated on a shared substrate. The plurality of single-photon photodetectors and the at least one additional photodetector are configured to detect light from a shared field of view. The system also includes a controller configured to carry out operations. The operations include: receiving respective photodetector signals from the plurality of single-photon photodetectors and the at least one additional photodetector; selecting a photodetector signal from at least two of: the two received photodetector signals and a combined photodetector signal formed by combining the two received photodetector signals; and determining an intensity of light in the field of view based on the selected photodetector signal.
    Type: Grant
    Filed: September 25, 2017
    Date of Patent: May 25, 2021
    Assignee: Waymo LLC
    Inventors: Caner Onal, Blaise Gassend, Pierre-yves Droz
  • Patent number: 11009219
    Abstract: A housing box according to an embodiment includes an outer frame, a top panel, and a bracket. The outer frame has a bottom wall and a pair of side walls continuous to the bottom wall and facing each other, and is an aluminum sheet metal having an opening on one side facing the bottom wall. The top panel is made of iron, and covers the one side of the outer frame. The bracket is made of iron, is disposed along the inner surface of the bottom wall and the inner surfaces of the pair of side walls, and is attached to the top panel.
    Type: Grant
    Filed: April 18, 2018
    Date of Patent: May 18, 2021
    Assignee: MINEBEA MITSUMI INC.
    Inventor: Shinichi Fujisawa
  • Patent number: 11002667
    Abstract: The present invention relates to digital pathology. In order to improve uniformity at microscopic level and to enhance stability in time for color calibration, a calibration slide (10) is provided for a digital pathology scanning microscope. The calibration slide comprises a substrate (12) and a pixel layout (14) comprising a plurality of spaced apart metal nanostructures (16) arranged on a surface (18) of the substrate. The substrate is optically transparent. The metal nanostructures are arranged to produce plasmon resonances for generating a color image under a bright-field illumination. The color image comprises a plurality of calibration color values that are provided for calibrating a digital pathology imaging system.
    Type: Grant
    Filed: December 23, 2016
    Date of Patent: May 11, 2021
    Assignee: KONINKLIJKE PHILIPS N.V.
    Inventors: Marius Iosif Boamfa, Susanne Maaike Valster, Prarthana Shrestha
  • Patent number: 10989670
    Abstract: A method for detecting defects in a thinned die, the method may include inspecting the thinned die with a two-dimensional inspection module, to find suspected defects that appear as non-reflecting regions that fulfill a size condition; measuring, using a depth measurement module, a depth of the suspected defects; and defining a suspected defects as a defects when the depth parameter exceeds a depth threshold.
    Type: Grant
    Filed: December 17, 2018
    Date of Patent: April 27, 2021
    Assignee: CAMTEK LTD.
    Inventor: Aki Shoukrun
  • Patent number: 10989526
    Abstract: The present invention is a device for the complete acquisition of the shape of an object by means of a plurality of image sensors arranged around a space for image capture. Image sensors capture a plurality of images that are carried to a reconstruction system formed from said plurality of images corresponding to different views of the object. Document EP2511653 discloses a system where the object falls through the trap area so that there is no type of fastener or support which hides some part of the object. The present invention is a device for the acquisition and reconstruction of objects characterized by the use of an actuator serving as launcher and configured so that the object is positioned at the point of capture of images at either zero or very close to zero speed to improve the resolution of each image without blurring due to movement, even with relatively long exposure times. Additionally, the actuator is configured so that the fall of the object is such that it prevents damage by the impact effect.
    Type: Grant
    Filed: June 20, 2018
    Date of Patent: April 27, 2021
    Assignee: INSTITUTO TECHNOLÓGICO DE INFORMÁTICA UPV CIUDAD POLITÉCNICA DE LA INNOVACIÓN
    Inventor: Juan Carlos Pérez Cortés
  • Patent number: 10983430
    Abstract: A method of testing a photomask assembly is disclosed. The method includes placing a photomask assembly into a chamber. The photomask assembly includes a pellicle attached to a first side of a photomask. The method further includes exposing the photomask assembly to a radiation source in the chamber. The exposing of the photomask assembly includes illuminating an entirety of an area of the photomask covered by the pellicle throughout an entire illumination time.
    Type: Grant
    Filed: February 22, 2018
    Date of Patent: April 20, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Wu-Hung Ko, Chih-Wei Wen, Kun-Lung Hsieh
  • Patent number: 10949964
    Abstract: A system for analyzing a sample includes an inspection sub-system and at least one controller. The inspection sub-system is configured to scan a sample to collect a first plurality of sample images having a first image resolution. The controller is configured to generate a defect list based on the first plurality of sample images. The controller is further configured to input images corresponding to the defect list into a neural network that is trained with source data including sample images having the first image resolution and sample images having a second image resolution higher than the first image resolution. The controller is further configured to generate a second plurality of sample images with the neural network based on the images corresponding to the defect list, where the second plurality of sample images have the second image resolution and correspond to the defect list.
    Type: Grant
    Filed: November 5, 2018
    Date of Patent: March 16, 2021
    Assignee: KLA Corporation
    Inventors: Anuj Pandey, Bradley Ries, Himanshu Vajaria, Yong Zhang, Rahul Lakhawat
  • Patent number: 10948424
    Abstract: In a defect inspection device that irradiates a surface of a sample or a surface of a pattern chip with an illumination light shaped to extend in a first direction, and detects a scattered light generated from the surface of the sample or the surface of the pattern chip by the illumination light to detect a defect on the surface of the sample, the pattern chip has a dot pattern area in which multiple dots are arrayed in multiple rows and multiple columns, a minimum interval between the dots corresponding to the lines aligned in the first direction among the multiple dots arrayed in the dot pattern area in a second direction orthogonal to the first direction is smaller than a width of the illumination light, and a minimum interval between the multiple dots arrayed in the dot pattern area is larger than a resolution of the detection optical system.
    Type: Grant
    Filed: March 2, 2016
    Date of Patent: March 16, 2021
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Yuta Urano, Yukihiro Shibata, Toshifumi Honda, Yasuhiro Yoshitake, Hideki Fukushima
  • Patent number: 10937151
    Abstract: An automatic optical inspection (AOI) method for inspecting defects on a surface of an object is provided. The method includes: providing at least two different illumination systems; acquiring, by at least one detector, at least two pieces of image information of the object, each piece of image information being acquired under illumination of a corresponding one of the illumination systems; obtaining at least two pieces of surface defect information of the object by analyzing the acquired at least two pieces of image information using a computer and storing at least one of the obtained at least two pieces of surface defect information by the computer; and combining, by the computer, all of the at least two pieces of surface defect information to de-duplicate the at least two pieces of surface defect information and obtain a piece of combined surface defect information.
    Type: Grant
    Filed: July 31, 2018
    Date of Patent: March 2, 2021
    Assignee: Shanghai Micro Electronics Equipment (Group) Co., Ltd.
    Inventors: Fan Wang, Hailiang Lu, Kai Zhang
  • Patent number: 10930065
    Abstract: Implementations are described herein for three-dimensional (“3D”) modeling of objects that target specific features of interest of the objects, and ignore other features of less interest. In various implementations, a plurality of two-dimensional (“2D”) images may be received from a 2D vision sensor. The plurality of 2D images may capture an object having multiple classes of features. Data corresponding to a first set of the multiple classes of features may be filtered from the plurality of 2D images to generate a plurality of filtered 2D images in which a second set of features of the multiple classes of features is captured. 2D-3D processing, such as structure from motion (“SFM”) processing, may be performed on the 2D filtered images to generate a 3D representation of the object that includes the second set of one or more features.
    Type: Grant
    Filed: March 8, 2019
    Date of Patent: February 23, 2021
    Assignee: X DEVELOPMENT LLC
    Inventors: Elliott Grant, Yueqi Li
  • Patent number: 10928331
    Abstract: The present invention discloses a display defect detection method, apparatus, and device for a display screen. The method includes: identifying a suspected defective pixel from a first image of a front side of a tested display screen, where the first image is shot when the tested display screen is in a solid-color display state; identifying an external smudgy pixel from a second image of the front side of the tested display screen, where the second image is shot when the tested display screen is in a die-out state and the front side is illuminated by a diffuse reflection light source; detecting, for each suspected defective pixel identified from the first image, whether a pixel at a same location in the second image is the external smudgy pixel; and if no, determining the suspected defective pixel as a display defective pixel.
    Type: Grant
    Filed: April 25, 2018
    Date of Patent: February 23, 2021
    Assignee: Huawei Technologies Co., Ltd.
    Inventor: Huiqiang Zhang
  • Patent number: 10921721
    Abstract: Embodiments of the present disclosure include measurement systems and grating pattern arrays. The measurement systems include multiple subsystems for creating diffraction patterns or magnified real images of grating regions on a substrate. The measurements systems are configured to reflect and transmit light, and the reflected and transmitted beams create diffraction patterns and enlarged images. The diffraction patterns and images provide information on grating pitch and angles of grating regions. Grating pattern arrays disposed on a substrate include main regions and reference regions. The reference regions are used to locate corresponding main regions. The measurement systems do not include a rotating stage, and thus precise control of rotation of a stage is not needed.
    Type: Grant
    Filed: October 8, 2019
    Date of Patent: February 16, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Jinxin Fu, Yifei Wang, Yongan Xu, Ludovic Godet
  • Patent number: 10921479
    Abstract: The disclosure discloses a magnetic induction intensity detection device and a terminal equipment. The magnetic induction intensity detection device provided by an embodiment of the disclosure includes: a power supply, an electroluminescence component and a current detection component, wherein the electroluminescence component and the current detection component are connected in series; the power supply is configured to supply a voltage to the electroluminescence component so that the electroluminescence component generates a current; the current detection component is configured to detect a current variation flowing through the electroluminescence component, and determine a current magnetic induction intensity according to the current variation and a correspondence between current variations and magnetic induction intensities.
    Type: Grant
    Filed: February 2, 2018
    Date of Patent: February 16, 2021
    Assignees: BOE Technology Group Co., Ltd., Chengdu BOE Optoelectronics Technology Co., Ltd.
    Inventors: De Yuan, Weilong Zhou, Jiangtao Deng
  • Patent number: 10890539
    Abstract: A semiconductor defect inspection apparatus for inspecting a specimen including a semiconductor substrate having a surface on which a predetermined pattern is formed, includes an excitation light irradiator, a polarization converter, a detector, and a defect analysis detector. The excitation light irradiator irradiates the specimen with excitation light along an optical path from the irradiator to the specimen and such that the excitation light is obliquely incident at a predetermined incident angle. The first polarization converter is disposed in the optical path, and converts the excitation light into s-polarized light. The detector detects photoluminescence light generated from the specimen when the excitation light is incident on the specimen. The defect analysis detector detects a dislocation defect by analyzing a photoluminescence image obtained by photoelectrically converting the photoluminescence light.
    Type: Grant
    Filed: February 24, 2020
    Date of Patent: January 12, 2021
    Assignee: KIOXIA CORPORATION
    Inventors: Hiroaki Shirakawa, Kiminori Yoshino
  • Patent number: 10883944
    Abstract: Provided is an inspection lighting device with which, even when changes in light that occur at respective feature points on an object to be inspected are small, the amounts of those changes in light can be determined across the entire field-of-view range, and the feature points can be detected under exactly the same conditions.
    Type: Grant
    Filed: March 17, 2018
    Date of Patent: January 5, 2021
    Assignee: Machine Vision Lighting Inc.
    Inventor: Shigeki Masumura
  • Patent number: 10861724
    Abstract: Disclosed are a substrate inspection apparatus and a substrate processing system. The substrate inspection apparatus includes a sensor module and a jig associated with the sensor module to transfer the sensor module. The sensor module may include a housing having a first surface and a second surface facing each other and including an insertion hole connecting the first and second surfaces to each other, a sensor inserted into the insertion hole to measure a state of the substrate, and a tilting member on the housing to adjust tilt of the housing.
    Type: Grant
    Filed: April 13, 2018
    Date of Patent: December 8, 2020
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jongwoo Sun, Hakyoung Kim, Yun-Kwang Jeon, Wonyoung Jee
  • Patent number: 10830706
    Abstract: A defect inspection apparatus includes: an illumination unit configured to illuminate an inspection object region of a sample with light emitted from a light source; a detection unit configured to detect scattered light in a plurality of directions, which is generated from the inspection object region; a photoelectric conversion unit configured to convert the scattered light detected by the detection unit into an electrical signal; and a signal processing unit configured to process the electrical signal converted by the photoelectric conversion unit to detect a defect in the sample. The detection unit includes an imaging unit configured to divide an aperture and form a plurality of images on the photoelectric conversion unit. The signal processing unit is configured to synthesize electrical signals corresponding to the plurality of formed images to detect a defect in the sample.
    Type: Grant
    Filed: February 16, 2018
    Date of Patent: November 10, 2020
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Toshifumi Honda, Shunichi Matsumoto, Masami Makuuchi, Yuta Urano, Keiko Oka
  • Patent number: 10832404
    Abstract: Methods are provided for the automated detection of micro-objects in a microfluidic device. In addition, methods are provided for repositioning micro-objects in a microfluidic device. In addition, methods are provided for separating micro-objects in a spatial region of the microfluidic device.
    Type: Grant
    Filed: June 5, 2018
    Date of Patent: November 10, 2020
    Assignee: Berkeley Lights, Inc.
    Inventors: Fenglei Du, Paul M. Lundquist, John A. Tenney, Troy A. Lionberger
  • Patent number: 10830708
    Abstract: According to one embodiment, an inspection system includes a holder, an irradiation part, a detector, and a controller. The irradiation part irradiates a first light toward a surface of a substrate held on the holder. The detector detects an intensity of a second light generated by reflection or scattering of the first light. The controller sets a first intensity range corresponding to an intensity of light reflected or scattered by a region not including slip of the surface. The controller sets a second intensity range corresponding to an intensity of light reflected or scattered by the slip. An upper limit and a lower limit of the second intensity range each are larger than an upper limit of the first intensity range. The controller extracts, as a length of the slip, a length of a region where the intensity of the second light is included in the second intensity range.
    Type: Grant
    Filed: August 14, 2018
    Date of Patent: November 10, 2020
    Assignees: KABUSHIKI KAISHA TOSHIBA, TOSHIBA ELECTRONIC DEVICES & STORAGE CORPORATION
    Inventor: Miyuki Maruta
  • Patent number: 10809194
    Abstract: A system in an embodiment can comprise an optical assembly, an SPR light source, and an SPR camera. The optical assembly in this embodiment can comprise a hemispherical prism comprising a planar top surface configured to support a surface-plasmon-resonance (SPR) sensor; a high numerical aperture (NA) lens; and a housing configured to mount the hemispherical prism and the high NA lens the such that the high NA lens is located distal from the planar top surface of the hemispherical prism. The SPR light source in this embodiment can be configured to emit a low-coherent monochromatic light beam for SPR imaging toward the high NA lens. The SPR camera in this embodiment can be configured to capture an SPR image formed after the low-coherent monochromatic light beam is incident upon and reflected by a metal-coated sample contacting surface of the SPR sensor.
    Type: Grant
    Filed: May 28, 2019
    Date of Patent: October 20, 2020
    Assignee: BIOSENSING INSTRUMENT INC.
    Inventors: Nguyen Ly, Tianwei Jing
  • Patent number: 10805552
    Abstract: A visual inspection device and a method for setting illumination condition thereof are provided to include an illumination part irradiating illumination lights to an inspection object; an imaging part capturing an image of the inspection object; a defect detecting part analyzing the image of the inspection object captured by the imaging part and detecting a defect of the inspection object; an illumination condition setting part setting an illumination condition of the illumination lights irradiated to the inspection object; and an optimum illumination condition deriving part deriving an optimum illumination condition by scoring each of the illumination conditions based on the images captured under plural and different illumination conditions, where the optimum illumination condition is the most suitable illumination condition for detecting the defect of the inspection object by the defect detecting part.
    Type: Grant
    Filed: December 14, 2018
    Date of Patent: October 13, 2020
    Assignee: OMRON Corporation
    Inventor: Shingo Hayashi
  • Patent number: 10794840
    Abstract: Embodiments of the present disclosure provide techniques and configurations for an apparatus for package inspection. In some embodiments, the apparatus may include a light source to selectively project a first light defined by a first wavelength range to a surface of a package under inspection; an optical filter to selectively transmit, within a second wavelength range, a second light emitted by the surface of the package in response to the projection of the first light to the surface; a camera to generate one or more images of the surface, defined by the second light; and a controller coupled with the light source, optical filter, and camera, to process the one or more images, to detect a presence of a material of interest on the surface of the package, based at least in part on the first and second wavelength ranges. Other embodiments may be described and/or claimed.
    Type: Grant
    Filed: March 17, 2017
    Date of Patent: October 6, 2020
    Assignee: Intel Corporation
    Inventors: Liang Zhang, Jianyong Mo, Darren A. Vance, Di Xu, Gregory S. Clemons, Robert F. Wiedmaier
  • Patent number: 10794693
    Abstract: Disclosed is a method and associated apparatus of determining a performance parameter (e.g., overlay) of a target on a substrate, and an associated metrology apparatus. The method comprises estimating a set of narrowband measurement values from a set of wideband measurement values relating to the target and determining the performance parameter from said set of narrowband measurement values. The wideband measurement values relate to measurements of the target performed using wideband measurement radiation and may correspond to different central wavelengths. The narrowband measurement values may comprise an estimate of the measurement values which would be obtained from measurement of the target using narrowband measurement radiation having a bandwidth narrower than said wideband measurement radiation.
    Type: Grant
    Filed: August 13, 2018
    Date of Patent: October 6, 2020
    Assignee: ASML Netherlands B.V.
    Inventors: Farzad Farhadzadeh, Mohammadreza Hajiahmadi, Maurits Van Der Schaar, Murat Bozkurt
  • Patent number: 10794679
    Abstract: A method of measuring geometric parameters of through holes in a thin substrate includes acquiring images of select sub-volumes of the substrate using an optical system having a field of depth greater than a thickness of the substrate. The acquired images are processed to determine the desired geometric parameters.
    Type: Grant
    Filed: June 26, 2017
    Date of Patent: October 6, 2020
    Assignee: Corning Incorporated
    Inventors: Uta-Barbara Goers, Robert Wendell Sharps
  • Patent number: 10788429
    Abstract: It is therefore an objective to provide an object multi-perspective inspection apparatus and a method therefor. The apparatus includes an image capture device; an inspection site and at least two reflection devices, being arranged for reflecting simultaneously to the image capture device at least two different side views of the object located in the inspection site; wherein: the image capture device has a field of view including the at least two different side views of the reflection. By introducing reflection devices into the inspection apparatus to enable the image capture device to “see” the part from multiple views at once, multiple surfaces can be inspected at once, in one image frame, without having the need to reposition the reflection device, the camera and/or the object for every single surface. There are more than one reflection devices placed in the camera's field of view to assist the inspection process by exploiting otherwise hidden surfaces of any given solid object.
    Type: Grant
    Filed: November 5, 2019
    Date of Patent: September 29, 2020
    Assignee: ABB Schweiz AG
    Inventors: Martin Kefer, Jiafan Zhang
  • Patent number: 10784134
    Abstract: Methods and apparatus for detecting warpage in a substrate are provided herein. In some embodiments, a warpage detector for detecting warpage in substrates includes: one or more light sources to illuminate one or more substrates when present; a camera for capturing images of exposed portions of one or more substrates when present; a motion assembly having a mounting stage for supporting the camera; and a data acquisition interface (DAI) coupled to the camera to process substrate images and detect warpage of substrates based upon the processed substrate images.
    Type: Grant
    Filed: April 20, 2018
    Date of Patent: September 22, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Eng Sheng Peh, Karthik Balakrishnan, Sriskantharajah Thirunavukarasu
  • Patent number: 10782247
    Abstract: An embodiment provides a method for measuring particles on a wafer surface, the method including: disposing and rotating a wafer on a stage; irradiating a laser in a first region of a center of a surface of the rotating wafer, a second region between the first region and a third region, and the third region at an edge thereof; and measuring a laser reflected from the first to third regions of the wafer, wherein a second output of the laser irradiated in the second region is larger than a first output of the laser irradiated in the first region and a third output of the laser irradiated in the third region is larger than the second output of the laser irradiated in the second region.
    Type: Grant
    Filed: January 31, 2019
    Date of Patent: September 22, 2020
    Assignee: SK SILTRON CO., LTD.
    Inventors: Kang San Kim, Jae Deog Lee
  • Patent number: 10775703
    Abstract: A method for manufacturing a display panel includes: providing a first substrate and an exposure system, wherein the exposure system includes a light source module and a first shielding unit; disposing the first shielding unit at a position between the first substrate and the light source module in the initial state; moving the first shielding unit along a first direction; moving the light source module to pass through the first shielding unit along a second direction different from the first direction, and exposing the first substrate to the light emitted by the light source module; moving the light source module along the opposite direction of the second direction; and moving the first shielding unit back to the position between the first substrate and the light source module along the opposite direction of the first direction.
    Type: Grant
    Filed: December 7, 2018
    Date of Patent: September 15, 2020
    Assignee: INNOLUX CORPORATION
    Inventor: Chen-Kuan Kao
  • Patent number: 10761398
    Abstract: A imaging ellipsometer system is provided including a lens configuration with tunable acoustic gradient index of refraction (“TAG”) lens. The imaging ellipsometer system further includes a light source, a polarizer, a compensator, an analyzer and a camera. Light from the light source passes through the polarizer and is directed toward a workpiece. In various implementations, the compensator is located and configured to elliptically polarize the light either before or after the light is reflected from the workpiece. The lens configuration receives the reflected workpiece light and the TAG lens is controlled to provide a modulation of a focus position. The camera receives workpiece light that passes through the TAG lens and the analyzer during an image exposure and provides a corresponding camera image. An ellipsometry analysis is performed (e.g., to determine at least one of a refraction index, or a thickness of one or more layers of the workpiece, etc.
    Type: Grant
    Filed: June 29, 2018
    Date of Patent: September 1, 2020
    Assignee: Mitutoyo Corporation
    Inventor: Joseph Andrew Summers
  • Patent number: 10752967
    Abstract: Methods and systems for sorting hides are provided. In particular, one or more embodiments comprise a tanning control system that enhances the traceability of hides by capturing and utilizing data related to the unloading, tanning, sorting, and packaging of hides. Furthermore, one or more embodiments enable the tanning control system to improve efficiency by sorting hides based, at least in part, on data generated during prior tanning processes. Additionally, one or more embodiments facilitate the tanning control system in customizing the sorting and packaging of hides based, at least in part, on one or more hide characteristics and/or customer specifications.
    Type: Grant
    Filed: December 11, 2018
    Date of Patent: August 25, 2020
    Assignee: National Beef Packing Company, LLC
    Inventors: Robert Horst Hein, Kenneth Graham Press
  • Patent number: 10748020
    Abstract: A controller includes a specifying part and a reception part. The specifying part specifies positions where the illumination units are connected. The reception part presents a lightable area and an unlightable area in different modes based on the positions where the illumination units are connected, the positions being specified by the specifying part. The reception part receives settings of the lighting conditions for the lightable area.
    Type: Grant
    Filed: January 17, 2019
    Date of Patent: August 18, 2020
    Assignee: OMRON Corporation
    Inventors: Shingo Inazumi, Jaewook Hwang, Yutaka Kato
  • Patent number: 10746599
    Abstract: An optical detector is provided with multiple light sources having distinct spectral characteristics, which are controlled on/off across a range of lighting intensity combinations. A measurement sensor receives radiated light that is reflected from a target surface via confined optical channels, and generates representative output signals. A local controller or a remote computing device receives the output signals or corresponding messages from the optical detector, and generates a low resolution spectral data set based on the signals for each of the lighting intensity combinations. The low resolution spectral data set is interpolated based on machine learning algorithms trained with high resolution data from a reference device to generate a high resolution spectral data set associated with the target surface.
    Type: Grant
    Filed: October 30, 2018
    Date of Patent: August 18, 2020
    Assignee: Variable, Inc.
    Inventor: George Yang Yu
  • Patent number: 10730705
    Abstract: A loading and unloading device of solid state disk test system is used for picking and placing solid state disks at a test position. The device includes a sorting and feeding device and a transfer device including a transfer mechanism and an insert and extract robot. A loading and unloading position is located at the side of the sorting and feeding device away from the transfer device. The sorting and feeding device transfers the solid state disk to be tested from the loading and unloading position to a side close to the transfer device, and transfers the tested solid state disk back. The transfer mechanism drives the insert and extract robot to move relative to the test position to insert the solid state disk to be tested into the test position, which pulls out the tested solid state disk to transfer to the transfer device.
    Type: Grant
    Filed: September 26, 2018
    Date of Patent: August 4, 2020
    Assignee: WORLD PRECISION MANUFACTURING (DONGGUAN) CO., LTD.
    Inventors: Jinsuo Sun, Shengkai Wang, Hongliang Yang, Jianhong Zhou, Benzhan Wu
  • Patent number: 10733751
    Abstract: A displacement detecting apparatus includes: a detector which detects displacement, which is spatial displacement over time, of each of a plurality of measurement points which have been set on an object, using a plurality of images of the object captured at a plurality of time points; an extractor which extracts characteristic displacement specific to the object, based on the displacement detected by the detector; and a calculator which calculates overall displacement indicating displacement of the entirety of the object, from the characteristic displacement extracted by the extractor.
    Type: Grant
    Filed: April 16, 2019
    Date of Patent: August 4, 2020
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventor: Taro Imagawa
  • Patent number: 10726740
    Abstract: Provided is an image processing device including an infrared image acquisition unit that acquires an infrared image of an imaged object, a visible light image acquisition unit that acquires a visible light image of the imaged object, a generation unit that generates cutaneous sensation control parameters on the basis of the infrared image acquired by the infrared image acquisition unit, and a data processing unit that associates the visible light image acquired by the visible light image acquisition unit with the cutaneous sensation control parameters generated by the generation unit.
    Type: Grant
    Filed: October 9, 2015
    Date of Patent: July 28, 2020
    Assignee: SONY CORPORATION
    Inventors: Toshiyuki Sasaki, Takahiro Nagano, Masatoshi Yokokawa, Takefumi Nagumo
  • Patent number: 10724965
    Abstract: Embodiments related to systems and methods of crack detection in wafers (e.g., silicon wafers for photovoltaics, photovoltaic devices including silicon wafers) are disclosed. In some embodiments, an apparatus may include a light source configured to illuminate a side of a wafer and a camera directed towards a first face of the wafer. In some embodiments, a long axis of a field of view of the camera may be angled relative to a propagation direction of the light source. In some embodiments, at least a portion of the field of view of the camera is offset from the path of propagation of light emitted from the light source through the wafer. In some embodiments, at least a portion of a light beam may be oriented at a positive non-zero angle relative to the first face of the wafer, and a dimension of the light beam normal to the first face of the wafer may be larger than a thickness of the wafer.
    Type: Grant
    Filed: February 8, 2019
    Date of Patent: July 28, 2020
    Assignee: Massachusetts Institute of Technology
    Inventors: Emanuel M. Sachs, Tonio Buonassisi, Sarah Wieghold, Zhe Liu
  • Patent number: 10706531
    Abstract: A method for checking the proper dispensation of glue onto a circuit board in manufacture includes the use of fluorescent materials in such glue, activating a light source to illuminate a circuit board when the circuit board is placed on an electronic device, and controlling an image capturing device to capture an image of the circuit board. The image captured by the capturing device is compared with a standard stored image of the circuit board, and a determination is made as to whether the circuit board passes test according to the comparison.
    Type: Grant
    Filed: December 26, 2017
    Date of Patent: July 7, 2020
    Assignees: HONGFUJIN PRECISION ELECTRONICS (ZHENGZHOU) CO., LTD., HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Eddy Liu, Xi-Hang Li, Jun-Kang Fang
  • Patent number: 10700855
    Abstract: A client and server system that performs a reinforcement learning-based encryption and decryption method according to an aspect of the present invention may include: a key management module configured to manage an encryption key required in performing an encryption and a decryption of data; a secret sharing module configured to perform a secret sharing of a threshold value for a lifetime and availability of the data; and a threshold estimation module configured to perform an estimation of the threshold value; and can improve the availability and security of data to satisfy user demands in a self-destructing environment for privacy protection.
    Type: Grant
    Filed: January 25, 2018
    Date of Patent: June 30, 2020
    Assignee: University-Industry Cooperation Group of Kyung-Hee University
    Inventors: Choong Seon Hong, Young Ki Kim
  • Patent number: 10697760
    Abstract: Data acquisition devices for analyzing reference objects and systems for monitoring component deformation are provided. A data acquisition device has a longitudinal axis and includes a lens assembly and an image capture device in communication with the lens assembly for receiving and processing light from the lens assembly to generate images. The data acquisition device further includes a light source and a light tube coupled at a rear end to the light source. The light tube extends along the longitudinal axis between a front end and the rear end, and is operable to transport light from the light source therethrough and emit the light from the front end. The data acquisition device further includes an actuator operable to activate the image capture device and the light source.
    Type: Grant
    Filed: December 20, 2016
    Date of Patent: June 30, 2020
    Assignee: General Electric Company
    Inventors: Jason Lee Burnside, Gregory Lee Hovis, William F. Ranson
  • Patent number: 10677983
    Abstract: Disclosed herein is an optical fiber having an optically uniform coating having no physical defects in the coating greater than 100 micrometers in size over a length of 50 meters or greater.
    Type: Grant
    Filed: July 31, 2017
    Date of Patent: June 9, 2020
    Assignee: OFS Fitel, LLC
    Inventor: Paul S Westbrook
  • Patent number: 10668468
    Abstract: A sample holding device for use in transverse illumination of a sample or sub-components of a sample comprising: a support substrate comprising a sample well adapted to contain and be compatible with said sample wherein said well is provided on at least one wall with an angled reflective surface adjacent said sample well which when in use directs a transverse light beam from a light source through a sample contained within said sample well to provide substantially transverse illumination of a sample contained therein and imaging the sample using a single objective.
    Type: Grant
    Filed: August 27, 2014
    Date of Patent: June 2, 2020
    Assignees: National University of Singapore, Centre National de la Recherche Scientifique
    Inventors: Virgile Nicolas Robert Viasnoff, Vincent Studer, Gianluca Grenci, Remi Galland, Jean-Baptiste Sibarita
  • Patent number: 10670393
    Abstract: The methods and systems disclosed here leverage currently available reliable top down imaging techniques used by SEMs and use computational methods to synthesize accurate 3D profiles of features of high aspect ratio structures in a device. Radial cross-sectional profiles obtained from different locations along the lateral direction at different heights/depths are stitched together to create one composite 3D profile of the HAR feature.
    Type: Grant
    Filed: November 30, 2018
    Date of Patent: June 2, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Pradeep Subrahmanyan
  • Patent number: 10656097
    Abstract: An apparatus capable of quickly constructing an operation program that causes an inspection system to carry out an operation for imaging the surface to be inspected. This apparatus includes a drawing acquisition section configured to acquire drawing data of the workpiece, a designation receiving section configured to accept designation of the surface to be inspected in the drawing data, a target position acquisition section configured to acquire, as a target position, a position of the movement mechanism when the workpiece and the imaging section are positioned such that the surface to be inspected is within a field of view of the imaging section, and a program generation section configured to generate an operation program for controlling a movement operation of the movement mechanism and an imaging operation of the imaging section on the basis of the target position.
    Type: Grant
    Filed: February 12, 2018
    Date of Patent: May 19, 2020
    Assignee: FANUC CORPORATION
    Inventors: Junichirou Yoshida, Fumikazu Warashina
  • Patent number: 10649739
    Abstract: Methods, computer systems, computer-storage media, and graphical user interfaces are provided for facilitating application development. In embodiments, input is received from a designer, the input including an indication of a class of applications and one or more preferences for designing a module specification. Thereafter, the module specification is created in association with the class of applications. Input is received from a teacher in association with the module specification. At least a portion of such input indicates how to recognize when a user intends to run an application. An application is generated based on the input provided from the teacher. Such an application can be used by one or more users.
    Type: Grant
    Filed: December 20, 2016
    Date of Patent: May 12, 2020
    Assignee: MICROSOFT TECHNOLOGY LICENSING, LLC
    Inventors: Christopher John Champness Burges, Ted Hart, Andrzej Pastusiak, Zi Yang
  • Patent number: 10634490
    Abstract: A method of determining an edge roughness parameter has the steps: (1010) controlling a radiation system to provide a spot of radiation at a measurement position for receiving a substrate; (1020) receiving a measurement signal from a sensor for measuring intensity of a forbidden diffraction order (such as a second order) being diffracted by a metrology target at the measurement position when the metrology target is illuminated by the spot of radiation, the metrology target comprising a repetitive pattern being configured by configuration of a linewidth/pitch ratio (of about 0.5) to control an amount of destructive interference that leads to forbidding of the diffraction order, the sensor being configured to provide the measurement signal based on the measured intensity; and (1040) determining an edge roughness parameter based on the measured intensity of the forbidden diffraction order.
    Type: Grant
    Filed: May 24, 2018
    Date of Patent: April 28, 2020
    Assignee: ASML Netherlands B.V.
    Inventors: Martin Jacobus Johan Jak, Richard Quintanilha, Arie Jeffrey Den Boef, Michael Kubis
  • Patent number: 10620618
    Abstract: Systems and methods are provided for identifying relationships between defects. The system may obtain defect items and associated information. Defect items may be compared to one another based on their attributes to determine how related they are. According to the comparisons, defect items may be grouped together into issue items for further analysis by a user. The system may further update a defect comparison model according to user interaction with defect items.
    Type: Grant
    Filed: December 20, 2016
    Date of Patent: April 14, 2020
    Assignee: Palantir Technologies Inc.
    Inventors: Andrew Poh, Andre Frederico Cavalheiro Menck, Arion Sprague, Benjamin Grabham, Benjamin Lee, Bianca Rahill-Marier, Gregoire Omont, Jim Inoue, Jonah Scheinerman, Maciej Albin, Myles Scolnick, Paul Gribelyuk, Steven Fackler, Tam-Sanh Nguyen, Thomas Powell, William Seaton
  • Patent number: 10605698
    Abstract: Method for checking a tyre. The method includes associating first and second independent light sources with a camera, applying a first force against a first surface portion of the tyre to generate a first deformed surface portion, and illuminating the first deformed surface portion with a first light radiation emitted by the first light source while keeping the second light source deactivated. A first image of the first deformed surface portion is then acquired by the camera. The first force is removed and a second surface portion partially distinct from the first surface portion is illuminated with a second light radiation emitted by the second light source without deforming the second surface portion. A second image of the second surface portion is then acquired by the camera. The first and second images are processed for detection of possible defects in the first and second surface portions.
    Type: Grant
    Filed: December 16, 2016
    Date of Patent: March 31, 2020
    Assignee: PIRELLI TYRE S.P.A.
    Inventors: Alessandro Held, Vincenzo Boffa, Daniele Pecoraro, Valeriano Ballardini, Josef Engelsberger, Bernd Leitner