Associated With Semiconductor Wafer Handling Patents (Class 414/935)
  • Patent number: 6938505
    Abstract: An apparatus and method for detecting in chamber wafer position and process status are disclosed. A chamber includes a processing pedestal and plurality of lift pins. Each lift pin has an associated load cell for measuring the load exerted by the wafer on the lift pins. Mispositioned wafers or broken wafers will result in load measurements outside of expected ranges. Position of the wafer may be determined from the load distribution sensed on the lift pins.
    Type: Grant
    Filed: August 13, 2002
    Date of Patent: September 6, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kuen-Ei Chen, Yu-Yi Wu, Chia-Hung Chung
  • Patent number: 6941185
    Abstract: A vacuum processing apparatus and method wherein a plurality of processing units are for conducting processing, a transfer processing unit is connected with the plurality of processing units for carrying wafers to the processing units, a transfer device is disposed in the transfer processing unit and carries the wafers and cassettes for containing the wafers, and a control unit is provided for conducting transfer control for transferring the wafers from respective cassettes to the transfer processing unit. The wafers are processed by using the plural processing units, and at least two of the cassettes are used. Parallel processing is conducted of applying same processing to the wafers contained on each of the cassettes by applying the same recipe and the wafers, after applying the parallel processing, are returned to the original cassette.
    Type: Grant
    Filed: May 8, 2002
    Date of Patent: September 6, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Kouji Nishihata, Kazuhiro Joo, Shoji Ikuhara, Tetsuya Tahara, Shoji Okiguchi
  • Patent number: 6935466
    Abstract: A lifting mechanism includes a plurality of lift pins which may be driven separately and independently upward to engage an alignment surface of the chamber using ambient atmospheric pressure as the chamber is evacuated by a pump. In the illustrated embodiment, each lift pin includes a piston which is exposed to the internal chamber pressure on one side of the piston, and is exposed to the external ambient pressure on the other side of the piston. As the pump evacuates the chamber, the internal chamber pressure decreases, causing each lift pin piston to drive the associated lift pin upward. Once all the lift pins have securely engaged the alignment surface, the lift pins may be clamped to a linking mechanism to permit a motor to actuate the lift pins during processing operations.
    Type: Grant
    Filed: March 1, 2001
    Date of Patent: August 30, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Dmitry Lubomirsky, Sheshraj Tulshibagwale, Donald Olgado, Avi Tepman
  • Patent number: 6934661
    Abstract: A method for detecting wafer flat shift, and an apparatus (500) having two sensors (506a) and (506b) in a power supply circuit (600) for wafer fabrication equipment, the sensors (506a) and (506b) detecting a shift in wafer flat position from a desired position and shutting off the wafer fabrication equipment.
    Type: Grant
    Filed: December 16, 2003
    Date of Patent: August 23, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jean-Hua Yuen, Po-Ming Chen, Ming-Ji Chiang, Ji-Shen Yang
  • Patent number: 6930050
    Abstract: A multi-chamber system of an etching facility for manufacturing semiconductor devices occupies a minimum amount of floor space in a cleanroom by installing a plurality of processing chambers in multi-layers and in parallel along a transfer path situated between the processing chambers. The multi-layers number 2 to 5, and the transfer path can be rectangular in shape and need only be slightly wider than the diameter of a wafer. The total width of the multi-chamber system is the sum of the width of one processing chamber plus the width of the transfer path.
    Type: Grant
    Filed: November 19, 2002
    Date of Patent: August 16, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-sang Kim, Gyu-chan Jeoung, Gyu-hwan Kwag
  • Patent number: 6926798
    Abstract: An apparatus for supercritical processing and non-supercritical processing of a workpiece comprises a transfer module, a supercritical processing module, a non-supercritical processing module, and a robot. The transfer module includes an entrance. The supercritical processing module and the non-supercritical processing module are coupled to the transfer module. The robot is preferably located within the transfer module. In operation, the robot transfers a workpiece from the entrance of the transfer module to the supercritical processing module. After supercritical processing, the robot then transfers workpiece from the supercritical processing module to the non-supercritical processing module. After the non-supercritical processing, the robot returns the workpiece to the entrance of the transfer module. Alternatively, the non-supercritical processing is performed before the supercritical processing.
    Type: Grant
    Filed: March 6, 2003
    Date of Patent: August 9, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Maximilian Albert Biberger, Frederick Paul Layman, Thomas Robert Sutton
  • Patent number: 6926489
    Abstract: A latch sensor for a pod transport gripper for transferring semiconductor wafers is disclosed. The transport gripper has a left bar and a right bar, as well as a cross bar connecting the left and the right bars. The gripper also has a left clamp and a right clamp disposed on interior sides of the left bar and the right bar, respectively, to clamp a pod, such as a front-opening unified pod (FOUP), for transport. A number of latches are disposed on the cross bar, and correspond to a number of latch holes of the pod. The gripper has at least one latch sensor disposed on either the left bar, the right bar, or both, to determine whether the latches have properly engaged the latch holes of the pod.
    Type: Grant
    Filed: May 9, 2002
    Date of Patent: August 9, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Yan-Ping Lee, Kuo-Hsing Teng, Chi-Chung Chang, Fu-Shun Lo
  • Patent number: 6927181
    Abstract: A transfer robot (5) for thin substrate capable of efficiently detecting the stored state of thin substrates and an inspection method for thin substrate capable of accurately detecting the stored state of thin substrates; the robot (5), comprising an inspection camera (1) for detecting the stored state of the thin substrates (3) in a storage cassette (2), wherein the plurality of thin substrates (3) stored in the storage cassette (2) are carried out from the storage cassette (2) by the robot.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: August 9, 2005
    Assignee: Kabushiki Kaisha Yaskawa Denki
    Inventors: Hitoshi Wakizako, Kazunari Shiraishi, Yukito Sagasaki, Ken-ichi Motonaga, Kazunori Hino, Hiroki Sanemasa
  • Patent number: 6906783
    Abstract: A system and method are used to protect a mask from being contaminated by airborne particles. They include providing a reticle secured in a two-part cover. The two part cover includes a removable protection device used to protect the reticle from contaminants. The cover can be held inside a pod or box that can be used to transport the cover through a lithography system from an atmospheric section to a vacuum section. While in the vacuum section, the removable cover can be moved during an exposure process during which a pattern on the reticle can be formed on a wafer.
    Type: Grant
    Filed: February 20, 2003
    Date of Patent: June 14, 2005
    Assignee: ASML Holding N.V.
    Inventors: Santiago del Puerto, Erik R. Loopstra, Andrew Massar, Duane P. Kish, Abdullah Alikhan, Woodrow J. Olson, Jonathan H. Feroce
  • Patent number: 6906790
    Abstract: Robotic reticle manipulators are disclosed for use in holding and conveying, with good stability, thin, circular reticles as used in charged-particle-beam microlithography. A manipulator embodiment includes at least one arm configured for executing movements in the X-, Y-, and Z-directions. Connected distally to the at least one arm is a U-shaped fork (as an exemplary reticle-support member) defining recessed surfaces and vacuum ports for holding the reticle at the reticle's narrow handling zone located along the periphery of the reticle. Each vacuum port includes an upwardly extending lip that defines, on its “upward”-facing surface, a respective reticle-contact surface. Three such vacuum ports are provided on the fork and are nearly equidistantly separated from one another. Thus, as the reticle is being held and conveyed by the manipulator, the reticle is securely held to prevent reticle damage.
    Type: Grant
    Filed: August 5, 2003
    Date of Patent: June 14, 2005
    Assignee: Nikon Corporation
    Inventors: Hidekazu Kikuchi, Akihiro Yamamoto
  • Patent number: 6902762
    Abstract: A substrate processing method comprises stopping the transfer of a head substrate of a succeeding lot for a period which is an integral multiple of a cycle time after a last substrate of a preceding lot is transferred from a cassette section to a processing section by a transfer mechanism, executing dummy dispense of a predetermined time by a solution processing unit during the substrate transfer stop period, and transferring the head substrate of the succeeding lot to the processing section by the transfer mechanism after the dummy dispense.
    Type: Grant
    Filed: April 30, 2003
    Date of Patent: June 7, 2005
    Assignee: Tokyo Electron Limited
    Inventor: Akira Miyata
  • Patent number: 6897124
    Abstract: A bonded wafer 27 and a residual wafer 28 are placed in a state of being superimposed on each other on a susceptor 20 disposed in a heat treatment 10. A Bernoulli chuck 1 is moved to a wafer holding position 60 on a susceptor 20 by driving an arm 56, sucks the bonded wafer 27 positioned on the upper side and then moves to a bonded wafer recovery table 50? to recover the bonded wafer there. Then, similarly, the Bernoulli chuck 1 suction holds the residual wafer 28 at the wafer holding position 60 and then moves to a residual wafer recovery table 50? to recover the residual wafer there. With such a construction adopted, in a method for manufacturing a bonded wafer according to a so-called smart-cut method, not only is the separated bonded wafer recovered suppressing occurrence of a defect, deficiency and contamination, but there is also provided a method for manufacturing a bonded wafer capable of automation suitable for mass production.
    Type: Grant
    Filed: May 27, 2002
    Date of Patent: May 24, 2005
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Naoto Tate, Hiroji Aga
  • Patent number: 6896513
    Abstract: A system and method for processing large area substrates is provided. In one embodiment, a processing system includes a transfer chamber having at least one processing chamber and a substrate staging system coupled thereto. The staging system includes a load lock chamber having a first port coupled to the transfer chamber and a heat treating station coupled to a second port of the load lock chamber. A load lock robot is disposed in the load lock chamber to facilitate transfer between the heat treating station and the load lock chamber.
    Type: Grant
    Filed: September 12, 2002
    Date of Patent: May 24, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Robert Z. Bachrach, Wendell T. Blonigan
  • Patent number: 6895831
    Abstract: A sensor device, for diagnosing a processing system, generally includes a support platform and one or more sensors mounted on the support platform. The sensor senses a condition, such as direction or inclination or acceleration in one or two axes, of the sensor device and outputs a signal indicative thereof, which is then sent to a transmitter, also mounted to the support platform, for wireless transmission of the signal to a receiver mounted on or near the processing system. The support platform generally has physical characteristics, such as size, profile height, mass, flexibility and/or strength, substantially similar to those of the substrates that are to be processed in the processing system, so the sensor device can be transferred through the processing system in a manner similar to the manner in which production substrates are transferred through the processing system.
    Type: Grant
    Filed: May 27, 2003
    Date of Patent: May 24, 2005
    Assignee: Applied Materials, Inc.
    Inventor: Reginald Hunter
  • Patent number: 6893171
    Abstract: A substrate treating apparatus disclosed herein realizes improved throughput. The substrate treating apparatus according to this invention includes an antireflection film forming block, a resist film forming block and a developing block arranged in juxtaposition. Each block includes chemical treating modules, heat-treating modules and a single main transport mechanism. The main transport mechanism transports substrates within each block. Transfer of the substrates between adjacent blocks is carried out through substrate rests. The main transport mechanism of each block is not affected by movement of the main transport mechanisms of the adjoining blocks. Consequently, the substrates may be transported efficiently to improve the throughput of the substrate treating apparatus.
    Type: Grant
    Filed: April 16, 2003
    Date of Patent: May 17, 2005
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Yoshiteru Fukutomi, Kenji Sugimoto, Takashi Ito, Takeo Okamoto, Yukihiko Inagaki, Katsushi Yoshioka, Tsuyoshi Mitsuhashi
  • Patent number: 6891341
    Abstract: An aligning apparatus for a semiconductor device test handler is provided which reduces semiconductor device loading and unloading time. An exchange unit of the handler includes a pair of aligners which operate independently to continuously transfer devices to a test site of the handler for test, and then away from the handler upon completion of the test. Both horizontal and vertical movement of each of the aligners facilitates the loading and unloading of semiconductor devices. This aligning apparatus provides for simplified design and operation of the aligners, and improves loading and unloading speed, thereby enhancing test efficiency.
    Type: Grant
    Filed: December 9, 2002
    Date of Patent: May 10, 2005
    Assignee: Mirae Corporation
    Inventor: Jae Hyuk Cho
  • Patent number: 6881685
    Abstract: An air-supply machine cleans outside air. The cleaned outside air is dehumidified by cooling by performing heat exchange between the cleaned outside air and an exhaust air from a lithography apparatus by a first heat-pipe. The dehumidified outside air is heated by performing heat treatment between the dehumidified outside air and an exhaust air from a vertical heat treatment apparatus by a second heat-pipe. The heated outside air is supplied to inside the housing of the vertical heat treatment apparatus. Thereby, the consumption of air in the clean room is reduced and the running cost of the clean room is reduced.
    Type: Grant
    Filed: January 9, 2002
    Date of Patent: April 19, 2005
    Assignees: Tokyo Electron Limited, Taisei Corporation
    Inventors: Osamu Suenaga, Sadao Kobayashi
  • Patent number: 6881967
    Abstract: The present invention is directed to accounting for crystal cut error data in ion implantation systems, thereby facilitating more accurate ion implantation. One or more aspects of the invention also consider possible shadowing effects that can result from features formed on the surface of a wafer being doped. According to one or more aspects of the invention, crystal cut error data and optionally feature data also are periodically fed forward in one or more ion implantation stages or systems to ascertain how to re-orient the ion beam with respect to the workpiece to achieve desired implantation results.
    Type: Grant
    Filed: January 22, 2004
    Date of Patent: April 19, 2005
    Assignee: Axcelis Technologies, Inc.
    Inventor: Andrew M. Ray
  • Patent number: 6879063
    Abstract: A positioning device comprising a first part (1) which is movable relatively to a second part (2) in an X-direction and a Y-direction, said first part (1) comprising a carrier (5) on which a system of magnets (3) is arranged according to a pattern of rows (7) and columns (8) extending parallel to the X-direction and the Y-direction, respectively. The magnets in each row and column are arranged according to a Halbach array, i.e. the magnetic orientation of successive magnets in each row (7) and each column (8) rotates 90° counter-clockwise. The second part (2) comprises an electric coil system (4) with two types of electric coils (C1, C2), one type having an angular offset of +45°, and the other type having an offset of ?45° with respect to the X-direction. The magnet configuration causes a very strong magnetic field.
    Type: Grant
    Filed: March 6, 2003
    Date of Patent: April 12, 2005
    Assignees: ASML Netherlands B.V., Koninklijke Philips Electronics, N.V.
    Inventors: Petrus C.M. Frissen, Johan C. Compter, Antonius T.A. Peijnenburg, Erik R. Loopstra
  • Patent number: 6877250
    Abstract: In an apparatus for a treatment of a wafer at elevated temperatures, the wafer is taken out of the reactor after heat treatment with the help of a mechanical transport apparatus which preferably grips the wafer around the circumference and on the under side. The transport apparatus includes a wafer surrounding ring. The wafer is placed in a floating wafer reactor where it is cooled in a controlled manner. Transport for further action or treatment then takes place.
    Type: Grant
    Filed: December 10, 2002
    Date of Patent: April 12, 2005
    Assignee: ASM International N.V.
    Inventors: Ernst Hendrik August Granneman, Vladimir Ivanovich Kuznetsov, Gert Jan Snijders
  • Patent number: 6875282
    Abstract: A substrate transport container is used, for example, in the process of manufacturing integrated circuits of less than 0.13 ?m line width, can hold the level of contaminants in the interior of the container for at least particles, acidic gases, basic gases, organic substances and humidity at controlled low levels, and has the size and structure to be compatible with automated semiconductor manufacturing plants. The container is provided with a door for loading and unloading substrates on a surface of a container main body and is constructed so as to hold the substrates inside the container main body at a given distance of separation. Air conditioning apparatuses for reducing the levels of particulate and gaseous contaminants are disposed roughly symmetrically on the container main body.
    Type: Grant
    Filed: May 16, 2002
    Date of Patent: April 5, 2005
    Assignee: Ebara Corporation
    Inventors: Akira Tanaka, Yoko Suzuki, Takashi Kishi
  • Patent number: 6875281
    Abstract: A system for performing a coating and developing treatment for a substrate. The system includes a processing zone having a coating treatment unit, a developing treatment unit, and a heat treatment unit. An interface section carries the substrate between the processing zone and an aligner not included in the system for performing an exposure processing for the substrate. A unit measures the density of impurities at least inside the processing zone or the interface section, and a reduced-pressure impurity removing unit has a chamber which can be closed airtightly for reducing the pressure inside the chamber to a predetermined pressure before the substrate undergoes the exposure processing to remove the impurities adhering to the coating layer on the substrate inside the chamber for a predetermined time. A reduced-pressure control unit controls at least the predetermined pressure or predetermined time based on the value measured by the density measuring unit.
    Type: Grant
    Filed: May 7, 2001
    Date of Patent: April 5, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Junichi Kitano, Yuji Matsuyama, Takahiro Kitano
  • Patent number: 6869262
    Abstract: A vacuum apparatus has a process chamber for processing a workpiece and a transfer apparatus for positioning the workpiece in the process chamber and for removing the workpiece from the process chamber. The transfer apparatus has a pair of generally parallel and spaced-apart transfer bodies and a workpiece holder connected to the transfer bodies for supporting the workpiece. A feeding mechanism feeds the transfer bodies in a longitudinal direction thereof to position the workpiece holder and the workpiece in the process chamber.
    Type: Grant
    Filed: March 1, 2002
    Date of Patent: March 22, 2005
    Assignee: Seiko Instruments Inc.
    Inventors: Yoshihiro Yamashita, Yoshihiro Enomoto
  • Patent number: 6863485
    Abstract: Wafer processing apparatus A-Z for performing processes A-Z on a wafer are arranged in a row. A chamber 14 is formed parallel to these wafer processing apparatus, and a guide rail 11 is provided inside the chamber 14. A mobile element 12 driven by means of a linear motor is provided on the guide rail 11, and a wafer transfer robot 13 capable of exchanging a wafer between the wafer processing apparatus is mounted on the mobile element 12. With this structure, the wafer transfer robot 13 exchange wafers between wafer processing apparatus to perform each process on the wafers until the wafers are conveyed to the wafer processing apparatus Z.
    Type: Grant
    Filed: January 25, 2000
    Date of Patent: March 8, 2005
    Assignee: Shinko Electric Co., Ltd.
    Inventors: Takumi Mizokawa, Makoto Omori, Yuzo Takakado, Hitoshi Kawano
  • Patent number: 6863735
    Abstract: An epitaxial growth furnace is provided for effecting the formation of an epitaxial layer on the surface of a semiconductor wafer by CVD in a reaction chamber of the furnace. The furnace comprises a wafer holder having an opening for exposing a surface area of the wafer which is subject to epitaxial growth, an opening flange adapted for engagement with a chamfered tapered face of a whole peripheral edge of the wafer on the side of said surface area thereof, and a plurality of jaws for detachably engaging with an outer periphery of the wafer on a back surface side of said surface area.
    Type: Grant
    Filed: July 26, 1999
    Date of Patent: March 8, 2005
    Assignee: Super Silicon Crystal Research Institute Corp.
    Inventors: Shinji Nakahara, Masato Imai, Masanori Mayusumi, Kazutoshi Inoue, Shintoshi Gima
  • Patent number: 6857838
    Abstract: A substrate processing system includes a notch aligner. The notch aligner includes a rotating support device, a servomotor for rotating the rotating support device, a sensor for detecting a notch formed in a wafer, a wafer lifting device, a cylinder actuator for vertically moving the wafer lifting device, and a CPU. The rotating support device holds a wafer in a horizontal position. The sensor detects the notch to determine the orientation of the wafer. The wafer lifting device receives the wafer from the rotating support device and lifts up the wafer from the rotating support device. A notch detection signal provided by the sensor is given to the CPU. The CPU gives control signals to the servomotor and the pneumatic cylinder actuator to operate the servomotor and the cylinder actuator so that the wafer is aligned.
    Type: Grant
    Filed: March 25, 2003
    Date of Patent: February 22, 2005
    Assignee: Tokyo Electron Limited
    Inventor: Osamu Kuroda
  • Patent number: 6853872
    Abstract: A vacuum processing method and apparatus processing units for conducting processing, a transfer processing unit connected with the plurality of processing units for carrying wafers, and a control unit for controlling the processing units. A processing order information storing device stores a processing order of the wafers for the processing units, an operational information signal generating device generates an operational information signal indicating an operable or inoperable state of each of the processing units, an operational information signal storing device stores the operational information signal indicating the state of each of the processing units, and a control device matches and processes the processing order information and the operational information signal, and continues operation without using an inoperable processing unit while using other operable processing units.
    Type: Grant
    Filed: May 8, 2002
    Date of Patent: February 8, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Kouji Nishihata, Kazuhiro Joo, Shoji Ikuhara, Tetsuya Tahara, Shoji Okiguchi
  • Patent number: 6851872
    Abstract: Transferring apparatus control means movement of a transferring apparatus for transferring a wafer to a resist liquid coating unit from a cooling process unit. A storage section of the transferring apparatus controller stores a coating time required for a resist film coating and a moving time required for the transfer of the wafer. When a coating start time of a resist film is inputted, the control section calculates a coating end time from the stored coating time. Further, the control section calculates time of taking out a wafer W, which is next subjected to a coating process from the cooling process unit, from the coating end time and the stored moving time. Based on the calculated time, the transferring apparatus controller instructs the transferring apparatus about timing to take out the wafer. This makes it possible to improve the throughput.
    Type: Grant
    Filed: November 7, 2000
    Date of Patent: February 8, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Kenichi Okubo, Akira Kanbayashi
  • Patent number: 6846380
    Abstract: An apparatus and method for processing a microelectronic substrate comprises a main chamber and a movable boundary. The main chamber comprises a main chamber wall enclosing a main chamber interior. The movable boundary is disposed within the main chamber interior, and is movable between a first position and a second position. At the first position, the movable boundary at least partially defines a sub-chamber in which a substrate can be processed. The sub-chamber is fluidly isolated from the main chamber interior, and provides an environment suitable for a high-pressure processing of the substrate such as cleaning or surface preparation. The sub-chamber can be maintained at a high pressure while the main chamber is maintained at either a low pressure, an atmospheric pressure, or at a vacuum. The apparatus can be directly coupled to an external substrate handling and/or fabrication module, such that the main chamber interior provides a buffer between the sub-chamber and the external module.
    Type: Grant
    Filed: June 13, 2002
    Date of Patent: January 25, 2005
    Assignee: The BOC Group, Inc.
    Inventors: C. John Dickinson, Frank Jansen, Daimhin P. Murphy
  • Patent number: 6837632
    Abstract: A substrate treating apparatus has an antireflection film forming block, a resist film forming block and a developing block arranged in juxtaposition, each of these blocks including treating modules and a single main transport mechanism. The main transport mechanism transports substrates within each block, and transfers the substrates between the blocks through inlet substrate rests and outlet substrate rests provided as separate components. This construction realizes improved throughput of the substrate treating apparatus.
    Type: Grant
    Filed: August 22, 2003
    Date of Patent: January 4, 2005
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Yasufumi Koyama, Kenji Kamei, Toru Kitamoto, Kenji Hashinoki, Satoshi Yamamoto, Toshiaki Dainin
  • Publication number: 20040262548
    Abstract: A wafer mapping device that recognizes the existence of wafers along with the descending and opening of a front door (2a) of a closed type clean container (2) in a state where the front door of the closed type clean container for mounting and housing wafers (4) on any one of or a plurality of shelves among a plurality of internal shelves is made tightly contact to a port door (13) of a load port (12), comprising a swinging member (22) coupled to the port door so as to swing around predetermined horizontal axes parallel to the port door, a pair of sensor portions (20) fixed to the swinging member and mutually separated as well as protruding towards the clean container from the swinging member above the port door, a light emitting device (20a) and light receiving device (20b) fixed one each to the pair of sensor portions and facing each other, and swinging drive means (25) for rotating the swinging member around the horizontal axis to an angle where the wafers enter between the light emitting device and the lig
    Type: Application
    Filed: September 13, 2004
    Publication date: December 30, 2004
    Inventor: Shoji Komatsu
  • Publication number: 20040258514
    Abstract: A method and apparatus is provided for determining substrate drift from its nominal or intended position. The apparatus includes at least two fixed reference points. The reference points can be fixed with respect to the processing tool, or with respect to the end effector. As a robotic arm moves the end effector and substrate along a path, a camera captures images of the edge of the substrate and the reference points. Two or more cameras can also be provided. A computer can then calculate positional drift of the substrate, relative to its expected or centered position on the end effector, based upon these readings, and this drift can be corrected in subsequent robotic arm movement.
    Type: Application
    Filed: July 15, 2004
    Publication date: December 23, 2004
    Inventor: Ivo Raaijmakers
  • Patent number: 6832863
    Abstract: A series of substrate transport paths for transporting substrates is arranged on upper and lower stories. Substrates are transferable between the substrate transport path on the first story and the substrate transport path on the second story. The paths include a going-only path for transporting the substrates forward, and a return-only path for transporting the substrates in the opposite direction, these paths being arranged on the upper and lower stories. An indexer connects one end of the substrate transport path on one story to one end of the substrate transport path on the other story. An interface connects the other end of the substrate transport path on one story to the other end of the substrate transport path on the other story. This construction efficiently reduces a waiting time due to interference between the substrates transported along the going-only path and the substrates transported along the return-only path.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: December 21, 2004
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Kenji Sugimoto, Minobu Matsunaga, Masakazu Sanada, Katsushi Yoshioka, Kaoru Aoki, Moritaka Yano, Satoshi Yamamoto, Tsuyoshi Mitsuhashi, Takashi Nagao, Mitsumasa Kodama
  • Patent number: 6833048
    Abstract: A processing apparatus is presented for applying to a substantially flat workpiece contained in a cassette, and a processing tool coupled to the housing. The processing tool is displaceable along three mutually perpendicular axes relative to the cassette for inserting the tool into the gap and moving the tool inside the gap relative to the workpiece. The processing apparatus can be utilized in chemical mechanical polishing arrangement, photolithography arrangement, and CVD arrangement.
    Type: Grant
    Filed: August 27, 2002
    Date of Patent: December 21, 2004
    Assignee: Nova Measuring Instruments Ltd.
    Inventors: Moshe Finarov, Rani Kipper
  • Publication number: 20040253091
    Abstract: The present invention has a first optical detection mark having a predetermined positional coordinate in a lateral direction with respect to a carrier opening of a processing unit through which a carrier apparatus enters and exits, a second optical detection mark having a predetermined positional coordinate in a vertical direction with respect to the carrier opening, and an optical sensor provided on the substrate carrier apparatus for detecting the first or second optical detection mark. The substrate carrier apparatus is rotated by a predetermined angle from a position of the substrate carrier apparatus where the optical sensor detects the first optical detection mark, and the substrate carrier apparatus is moved in the vertical direction by a predetermined amount of movement from a position of the substrate carrier apparatus where the optical sensor detects the second optical detection mark.
    Type: Application
    Filed: May 27, 2004
    Publication date: December 16, 2004
    Inventors: Naruaki Iida, Kazuhiko Ito, Michio Kinoshita
  • Patent number: 6830651
    Abstract: A load port which can selectively receive plural types of cassette having substrate which are to be processed accommodated therein is disclosed. The load port has the following constituents. That is, the load port includes a main body, an opening portion (which has a configuration that opens in the three surfaces) formed in one side surface of the main body, a placement table formed in the opening portion and used to place the cassette thereon, a first sensor mechanism (which identifies the type of the cassette) provided on the placement table, plural types of clamp mechanism (each type of the clamp mechanism clamping the corresponding type of cassette) provided on the placement table, and an up-down-type cover mechanism (which is vertically moved) which covers the opening portion of the main body.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: December 14, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Tadashi Obikane
  • Patent number: 6828235
    Abstract: It is an object of the present invention to adjust the transfer environment of a substrate in order to prevent contamination of the substrate surface by impurities. A semiconductor manufacturing apparatus comprises a load-lock chamber 1 in which substrate exchange with the outside is performed, a wafer process chamber 2 in which the wafer is subjected to a predetermined processing, and a transfer chamber 3 in which the wafer is transferred between the load-lock chamber 1 and the wafer process chamber 2. In a semiconductor manufacturing method in which this semiconductor manufacturing apparatus is used to treat a substrate, an inert gas (N2) is supplied to and exhausted from the load-lock chamber 1, the transfer chamber 3, and the wafer process chamber 2 while the substrate is being transferred from the load-lock chamber 1 to the wafer process chamber 2 through the transfer chamber 3, and the substrate transfer is carried out with a predetermined pressure maintained.
    Type: Grant
    Filed: March 29, 2001
    Date of Patent: December 7, 2004
    Assignee: Hitachi Kokusai Electric Inc.
    Inventor: Satoshi Takano
  • Patent number: 6827789
    Abstract: An apparatus for the treatment of semiconductor wafers, comprising a supportive frame and a process table arranged on the supportive frame. The process table comprises a stationary upper platen and a stationary lower plate. An intermediate indexing plate is rotatively arranged between the upper platen and the lower plate. At least one wafer support pin is attached to the indexing plate for the support of a wafer by the indexing plate. An upper housing is arranged on the upper platen and an outer lower housing is arranged on the lower plate. A displacable lower isolation chamber is disposed within the outer lower housing, being displacable against the indexing plate to define a treatment module between the upper housing and the lower isolation chamber in which the wafer is treated. A wafer supporting treatment plate is arranged within the lower isolation chamber, for controlled rapid treatment of a wafer within the treatment module.
    Type: Grant
    Filed: July 1, 2002
    Date of Patent: December 7, 2004
    Assignee: Semigear, Inc.
    Inventors: Chunghsin Lee, Jian Zhang, Darren M Simonelli, Keith D. Mullius, David A. Wassen
  • Patent number: 6827809
    Abstract: A technique for transferring a workpiece with one surface connected to a first workpiece carrier by a first adhesive onto a second workpiece carrier. This technique includes: fastening the second carrier to another surface of the workpiece with a second adhesive that has an adhesive force greater than the first adhesive, fastening the first earner to a first retaining device, fastening the second carrier to a second retaining device, separating the workpiece from the first carrier by a pulling force between the first and second retaining devices, separating the first carrier from the first retaining device, and separating the second carrier with the workpiece connected thereto from the second retaining device.
    Type: Grant
    Filed: June 12, 2002
    Date of Patent: December 7, 2004
    Assignee: Koninklijke Philips Electronics N.V.
    Inventor: Joachim Anker
  • Publication number: 20040240983
    Abstract: A transfer chamber for a cluster system includes a first body, a second body attached at one side of the first body, and a cover combined with an upper portion of the first body. The transfer chamber further includes a third body at another side of the first body, wherein the third body has the same shape as the second body.
    Type: Application
    Filed: June 2, 2004
    Publication date: December 2, 2004
    Applicant: Jusung Engineering Co., Ltd.
    Inventor: Geun-Ha Jang
  • Patent number: 6821082
    Abstract: A wafer management system has a first stationary wafer storage system (100) with a first buffer (110) for storing a plurality of wafers in slots, a first load-and-unload station (115) for transferring the wafers between the first buffer (110) and intra-bay pods (120, 130) assigned to a first bay (160), and a second load-and-unload station (184) for transferring wafers between the first buffer (110) and further pods (520, 530). The storage system (100) and the bay (160) form a single unit. Multiple units are linked together by tracks (500).
    Type: Grant
    Filed: October 30, 2001
    Date of Patent: November 23, 2004
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Richard McGowan
  • Patent number: 6821912
    Abstract: A substrate processing pallet has a top surface and a plurality of side surfaces. The top surface has at least one recess adapted to receive a substrate. The recess includes a support structure adapted to contact a portion of a substrate seated in the recess and a plurality of apertures each adapted to accommodate a lift pin. Lift pins can extend through the apertures initially to support the substrate and retract to deposit the substrate onto the support structure. A side surface includes a process positioning feature adapted to engage with a feature located in a process chamber to position the pallet. A side surface includes a positioning feature adapted to engage with an end effector alignment feature to position the pallet with respect to the end effector during transport. A side surface includes support features adapted to engage with end effector support features to support the pallet during transport.
    Type: Grant
    Filed: July 27, 2001
    Date of Patent: November 23, 2004
    Assignee: NEXX Systems Packaging, LLC
    Inventors: Martin P. Klein, David Felsenthal, Piero Sferlazzo
  • Publication number: 20040228707
    Abstract: Disclosed is a system for moving substrates in and out of a manufacturing process.
    Type: Application
    Filed: June 21, 2004
    Publication date: November 18, 2004
    Inventors: Min-Young Heo, Sung-Joon Byun, Jung-Teak Lim, Byung-Kwen Park
  • Patent number: 6814507
    Abstract: A substrate treating apparatus includes a heat-treating unit having a cooling unit and a local transport mechanism. The local transport mechanism, in time of standby, is placed in a standby position inside the cooling unit. The local transport mechanism in the standby position influences, and is influenced by, the environment outside the heat-treating unit less than where the local transport mechanism is kept on standby outside the heat-treating unit. Variations in substrate treating precision due to such adverse influences are reduced to perform substrate treatment with high precision.
    Type: Grant
    Filed: March 20, 2003
    Date of Patent: November 9, 2004
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Yukihiko Inagaki
  • Patent number: 6802934
    Abstract: Two load lock chambers 130 and 132 are arranged between a first transfer chamber 122 and a second transfer chamber 133. Each of the load lock chambers is capable of accommodating a single wafer W. The first transfer chamber 122 is provided with a first transfer unit 124 having two substrate holders 124a, 124b each capable of holding a single object to be processed, in order to transport the wafer W among a load port site 120, the first load lock chamber 130, the second load lock chamber 132 and a positioning unit 150. The second transfer chamber 133 is provided with a second transfer unit 156 having two substrate holders 156a, 156b each capable of holding the single object to be processed, in order to transport the wafer between the first load lock chamber 130, the second load lock chamber 132 and respective vacuum processing chambers 158 to 164. Since the volume of each load lock chamber can be minimized, it is possible to perform the prompt control of atmospheres in the load lock chambers.
    Type: Grant
    Filed: March 5, 2003
    Date of Patent: October 12, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Hiroaki Saeki, Keiichi Matsushima, Teruo Asakawa, Masaki Narushima
  • Patent number: 6802935
    Abstract: A semiconductor processing apparatus and method are disclosed herein, including a plurality of process chambers, wherein at least one semiconductor processing operation occurs within each process chamber among the plurality of process chambers. Additionally, the apparatus and method disclosed herein include a robot mechanism for rotating each process chamber among the plurality of process chambers upon completion of an associated semiconductor processing operation. Such a robot mechanism may comprise a plurality of robots. Specifically, such a plurality of robots may include six robots configured on an associated carousel.
    Type: Grant
    Filed: March 21, 2002
    Date of Patent: October 12, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Yi-Lung Cheng, Hui-Chi Lin, Szu-An Wu, Ying-Lang Wang
  • Patent number: 6799932
    Abstract: A processor for processing articles, such as semiconductor wafers, in a substantially clean atmosphere is set forth. The processor includes an enclosure defining a substantially enclosed clean processing chamber and at least one processing station disposed in the processing chamber. An interface section is disposed adjacent an interface end of the enclosure. The interface section includes at least one interface port through which a pod containing articles for processing are loaded or unloaded to or from the processor. The interface section is hygienically separated from the processing chamber since the interface section is generally not as clean as the highly hygienic processing chamber. An article extraction mechanism adapted to seal with the pod is employed. The mechanism is disposed to allow extraction of the articles contained within the pod into the processing chamber without exposing the articles to ambient atmospheric conditions in the interface section.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: October 5, 2004
    Assignee: Semitool, Inc.
    Inventors: Jeffry A. Davis, Kert L. Dolechek, Gary L. Curtis
  • Patent number: 6799940
    Abstract: A removable semiconductor wafer susceptor used for supporting a substrate during batch processing. The susceptor includes a flat circular central plane with a predetermined outer diameter. The susceptor is sized to fit within an inner diameter formed from wafer support ledges of a wafer transport container. The susceptor includes edges that are chamfered and rounded to lessen stress concentration at the edges. The susceptor is transported through processing by a sieving action of transport automation.
    Type: Grant
    Filed: December 5, 2002
    Date of Patent: October 5, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Raymond Joe, Anthony Dip
  • Patent number: 6793766
    Abstract: Apparatus for processing multiple semiconductor wafers, includes a transfer chamber, a first processing chamber mounted in fixed relation to the transfer chamber and having a first wafer-holding platform with a center, a second processing chamber mounted in adjustable relation to the transfer chamber and to the first chamber and having a second wafer-holding platform with a center, and a robot rotatably mounted within the transfer chamber and having first and second wafer-holding arms spaced parallel to each other for inserting a pair of wafers simultaneously into the first and second chambers and for placing the wafers accurately centered over the respective platforms. The spacing of the platform centers is adjusted relative to the spacing of the robot arms such that the wafers are centered and placed with a preselected degree of accuracy onto the respective platforms for efficient processing of the wafers.
    Type: Grant
    Filed: January 4, 2001
    Date of Patent: September 21, 2004
    Assignee: Applied Materials Inc.
    Inventors: Eric W. Schieve, Lawrence Chung-Lai Lei
  • Publication number: 20040179933
    Abstract: A new and improved lift mechanism which is suitably adapted for raising and lowering a pedestal/heater assembly inside a processing chamber for semiconductor wafer substrates. The pedestal/heater assembly lift mechanism includes a drive motor which is directly coupled through a shaft coupling to a threaded lead screw for rotating the lead screw in the clockwise or counterclockwise direction. The lead screw threadibly engages the pedestal/heater assembly for selectively raising and lowering the pedestal/heater assembly inside the processing chamber.
    Type: Application
    Filed: March 11, 2003
    Publication date: September 16, 2004
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kuo-Hsi Huang, Chang-Yi Hsieh, Yang-Hai Fan, Shih-Chang Hsu, Hao-Quan Yu, Zheng-Zong Twu