Associated With Semiconductor Wafer Handling Patents (Class 414/935)
  • Patent number: 7163586
    Abstract: A vapor deposition apparatus for coating an item has a cleanroom side that is accessible from inside a cleanroom and a service side that is not accessible from inside the cleanroom. The apparatus has a vaporizer for vaporizing solid coating material and a pyrolysis furnace for heating the vaporized coating material to form a pyrolized gaseous coating material. A deposition enclosure defines a deposition chamber for receiving an item to be coated. The deposition enclosure has an inlet for flow of pyrolized gaseous coating material into the deposition chamber, an outlet for flow of pyrolized gaseous coating material from the deposition chamber, an access door for accessing the deposition chamber from the cleanroom side of the apparatus, and a service door for accessing the deposition chamber from the service side of the apparatus.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: January 16, 2007
    Assignee: Specialty Coating Systems, Inc.
    Inventor: Dennis R. Christensen
  • Patent number: 7160410
    Abstract: Consistent with an embodiment of the present invention, there is a device for transferring a substantially disc-shaped workpiece from a workpiece carrier. The workpiece is fastened along a first one of its main surfaces with a double-side adhesive foil onto a carrier foil. The workpiece is fastened onto the carrier foil along a first one of the its main surfaces with a double-sided adhesive foil onto a carrier foil on whose surface the workpiece is to be provided and fastened along the first main surface in an at least substantially planar manner. The first double-sided adhesive foil is adhesively connected by a first adhesive layer provided on its first surface to a first surface of the workpiece carrier and by a second adhesive layer provided on it second surface to the workpiece alone the first main surface thereof.
    Type: Grant
    Filed: December 2, 2005
    Date of Patent: January 9, 2007
    Assignee: NXP B.V.
    Inventor: Joachim Anker
  • Patent number: 7160417
    Abstract: A cassette for holding substrate in a load-lock comprising an outer casing having a front surface with multiple slots and two sidewalls having holes at the bottom section thereof. Braces are set at the corner edges inside the outer casing and side plates are attached to the braces. Each slot has a set of side plates attached to the braces for holding a substrate. Obstruction pieces are also set inside the outer casing near the corresponding holes. Each obstruction pieces comprise a fixed part and an obstructing part. The fixed part attaches firmly to a bottom plate of the outer casing, and the obstructing part blocks the corresponding hole on the outer casing.
    Type: Grant
    Filed: January 6, 2004
    Date of Patent: January 9, 2007
    Assignee: Au Optronics Corporation
    Inventors: I-Tang Jiang, Yu-Ling Peng, Kuo-Shun Cheng
  • Patent number: 7161267
    Abstract: A displacement apparatus comprising a first part and a second part, which can be displaced relative to each other in first and second different directions. The apparatus being suitable for use in a lithographic apparatus for positioning the mask holder with respect to the projection beam and for positioning the wafer substrate table with respect to the patterned beam. The first part comprises a first and second coil system in which an alternating current is provided by a power supply. The second part comprises a conductive platen which is disposed in a zone in which a magnetic field is induced when power is supplied to the coil systems. The coil system and platen are arranged with respect to each other so that when currents are passed through the coils, a magnetic field induced in the platen causes displacement between the platen and the coils in the first and second different directions.
    Type: Grant
    Filed: May 28, 2004
    Date of Patent: January 9, 2007
    Assignee: ASML Netherlands B.V.
    Inventors: Sven Antoin Johan Hol, Johan Cornelis Compter, Erik Roelof Loopstra, Patricia Vreugdewater
  • Patent number: 7151590
    Abstract: A lithographic apparatus and a device manufacturing method is disclosed. In particular, a transport system configured to transport substrates between the lithographic apparatus and a track is disclosed, the track comprising one or more processing devices. The transport system may also transport substrates between the processing devices within the track. In an embodiment, the transport system comprises a transporter pathway along which one or more tracks and lithographic apparatuses are spaced. The substrates can be transported along the transporter pathway by a transporting device adapted to hold a substrate.
    Type: Grant
    Filed: February 24, 2004
    Date of Patent: December 19, 2006
    Assignee: ASML Netherlands B.V.
    Inventor: Jan Jaap Kuit
  • Patent number: 7151981
    Abstract: In a first aspect, a substrate positioning system includes a plurality of pushers arranged in a spaced relation about a stage adapted to support a substrate. Each pusher is adapted to assume a retracted position so as to permit the substrate to be loaded onto and unloaded from the stage, extend toward an edge of the substrate that is supported by the stage, contact the edge of the substrate, and continue extending so as to cause the substrate to move relative to the stage until the substrate is calibrated to the stage. Numerous other aspects are provided.
    Type: Grant
    Filed: February 19, 2004
    Date of Patent: December 19, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Emanuel Beer
  • Patent number: 7141120
    Abstract: A manufacturing apparatus of a semiconductor device includes an introducing section, a process section, and a withdrawing section. The introducing section introduces a transfer box therein. The process section takes in the semiconductor substrate put in the introducing section and applies a prescribed processing to the semiconductor substrate. Further, the withdrawing section is arranged on a surface differing from the surface on which the introducing section is arranged and discharges the transfer box holding the semiconductor substrate withdrawn from the process section of the semiconductor substrate.
    Type: Grant
    Filed: November 27, 2002
    Date of Patent: November 28, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kunihiro Miyazaki
  • Patent number: 7139638
    Abstract: A thermal processing unit is connected to a substrate position detector, which is in turn connected to a bake unit controller. The thermal processing unit includes a temperature control plate and a lifting device. The temperature control plate and lifting device are connected to the bake unit controller. The operations of the temperature control plate and lifting device are controlled by the bake unit controller. A pressure measuring pipe is provided at a lower part of at least one of a plurality of pin inserting holes in the temperature control plate. The pressure measuring pipe is connected to a low differential pressure sensor. The low differential pressure sensor detects the pressure in an airflow exhausted from a space surrounded by a substrate and an upper face of the temperature control plate via the pressure measuring pipe.
    Type: Grant
    Filed: September 15, 2004
    Date of Patent: November 21, 2006
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Toshihiro Nakajima, Mitsuhiro Masuda, Yasuhiro Shiba, Yasuhiro Fukumoto
  • Patent number: 7131176
    Abstract: A wafer container for supporting semi-conductor wafer disks and for interfacing with processing equipment and methods for constructing the same. Wafer supports are positioned within an enclosure portion, the wafer supports having a plurality of vertically stacked shelves for defining slots. The wafer supports are secured within the enclosure portion rigidly at the bottom margin and resiliently at the top margin. In preferred embodiments resilient plastic spring members extend between the closed top and the wafer supports to substantially constrain lateral or forward-back movement of the wafer supports with respect to the closed top and to permit some vertical movement of the wafer support with respect to the closed top. The spring members may be configured as a leaf spring integral with an extending from the top margin of each wafer support.
    Type: Grant
    Filed: November 2, 2004
    Date of Patent: November 7, 2006
    Assignee: Entegris, Inc.
    Inventor: Shawn D. Eggum
  • Patent number: 7112812
    Abstract: An apparatus, system and method for measuring a feature of a three-dimensional object, such as a wafer carrier, are provided. The apparatus is for use with an optical scanner and comprises a mounting structure adapted to be disposed on the scanner. The mounting structure has a calibration mark adapted to be read by the scanner and is adapted to position the object so that it is at a first pre-determined distance from the calibration mark. In one aspect of the present invention, the mounting structure further comprises an alignment surface adapted to abut the object. The alignment surface is disposed at a second pre-determined distance from the calibration mark. In another aspect of the present invention, the alignment surface is adapted to abut the object at a point spaced apart from the scanning surface.
    Type: Grant
    Filed: December 28, 2001
    Date of Patent: September 26, 2006
    Assignee: Applied Materials, Inc.
    Inventor: Ronald Vern Schauer
  • Patent number: 7100340
    Abstract: The present invention is a unified spine structure that EFEM components, such as a wafer handling robot and a SMIF pod advance assembly, may mount to. The frame includes multiple vertical struts that are mounted to an upper support member and a lower support member. Structurally tying the vertical struts to the support members creates a rigid body to support the EFEM components. The vertical struts also provide a common reference that the EFEM components may align with. This eliminates the need for each EFEM component to align with respect to each other. Thus, if one EFEM component is removed it will not affect the alignment and calibration of the remaining secured EFEM components. The unified frame also creates an isolated storage area for the SMIF pod door and the port door within the environment that is isolated from the outside ambient conditions.
    Type: Grant
    Filed: March 1, 2002
    Date of Patent: September 5, 2006
    Assignee: Asyst Technologies, Inc.
    Inventors: Anthony C. Bonora, Richard H. Gould, Roger G. Hine, Michael Krolak, Jerry A. Speasl
  • Patent number: 7098156
    Abstract: The conveyance of wafers in bays (equipment groups) of a clean room is performed by RGVs (Rail Guided Vehicles) that linearly travel at high speed on conveying rails laid on the floor of the clean room. A structure is adopted wherein a conveying area, over which the RGV travels, is separated from a human working area by a compartment (partition), and a human is not allowed to enter the conveying area upon operation of a line.
    Type: Grant
    Filed: April 4, 2002
    Date of Patent: August 29, 2006
    Assignee: Renesas Technology Corp.
    Inventors: Takayuki Wakabayashi, Toshiyuki Uchino, Yasuo Kiguchi, Atsuyoshi Koike
  • Patent number: 7097410
    Abstract: The orientation of a wafer with respect to the surface of an electrolyte is controlled during an electroplating process. The wafer is delivered to an electrolyte bath along a trajectory normal to the surface of the electrolyte. Along this trajectory, the wafer is angled before entry into the electrolyte for angled immersion. A wafer can be plated in an angled orientation or not, depending on what is optimal for a given situation. Also, in some designs, the wafer's orientation can be adjusted actively during immersion or during electroplating, providing flexibility in various electroplating scenarios.
    Type: Grant
    Filed: March 4, 2003
    Date of Patent: August 29, 2006
    Assignee: Novellus Systems, Inc.
    Inventors: Jonathan D. Reid, Steven T. Mayer, Seshasayee Varadarajan, David C. Smith, Evan E. Patton, Dinesh S. Kalakkad, Gary Lind, Richard S. Hill
  • Patent number: 7084466
    Abstract: Liquid detection sensors are attached to both sides of a robotic arm end effector of a semiconductor wafer process system. The sensor mechanism or probe is situated on the front side and backside of the end effector, designed with electrical lines that are traced onto a polyester base material. The electrical lines are positioned in a serpentine formation. The high conductance of the sulfuric acid in the copper sulfate solution acts as the conductor between the traced lines. When the conductive liquid comes in contact with the traced lines, the lines short and the sensor activates or turns on.
    Type: Grant
    Filed: December 9, 2002
    Date of Patent: August 1, 2006
    Assignee: Novellus Systems, Inc.
    Inventors: Won Lee, Evan E Patton
  • Patent number: 7077264
    Abstract: According to a first aspect, a first conveyor system is provided that is adapted to deliver substrate carriers within a semiconductor device manufacturing facility. The first conveyor system includes a ribbon that forms a closed loop along at least a portion of the semiconductor device manufacturing facility. The ribbon is adapted to (1) be flexible in a horizontal plane and rigid in a vertical plane; and (2) transport a plurality of substrate carriers within at least a portion of the semiconductor device manufacturing facility. Numerous other aspects are provided, as are systems, methods and computer program products in accordance with these and other aspects.
    Type: Grant
    Filed: January 26, 2004
    Date of Patent: July 18, 2006
    Assignee: Applied Material, Inc.
    Inventors: Michael R. Rice, Robert B. Lowrance, Martin R. Elliott, Jeffrey C. Hudgens, Eric A. Englhardt
  • Patent number: 7078262
    Abstract: A wafer formed thin through a back grinding process is placed on a support table included in an alignment stage. When a faulty suction is caused by a warp of the wafer, a surface of wafer is pressed by a pressing plate to be corrected and held by suction. The wafer held by suction is transported, along with the alignment stage, to a mount frame preparing unit at the next step. The wafer is received while being held by suction by a chuck table contacting the surface of wafer.
    Type: Grant
    Filed: November 26, 2002
    Date of Patent: July 18, 2006
    Assignee: Nitto Denko Corporation
    Inventors: Masayuki Yamamoto, Takao Matsushita
  • Patent number: 7063301
    Abstract: A facilities connection locator is provided for use with a support apparatus for supporting manufacturing equipment. The facilities connection locator comprises a fluid tight bucket having a bottom surface, a plurality of side walls extending upwardly from the bottom surface, and a mounting mechanism adapted to mount the fluid tight bucket to the support apparatus so that the fluid tight bucket has a fixed position relative to the support apparatus in an x y and z axes. The facilities connection locator also comprises a fluid connection port, and may comprise a vacuum connection port surrounded by a fluid tight riser. A vacuum line connection may extend through the vacuum connection port and may have a locating flange located a predetermined distance from the connection's top surface, such that coupling the locating flange to the riser fixes the height of the vacuum line connection relative to the top of the riser.
    Type: Grant
    Filed: July 15, 2001
    Date of Patent: June 20, 2006
    Assignee: Applied Materials, Inc.
    Inventor: Ronald Vern Schauer
  • Patent number: 7064512
    Abstract: A positioning apparatus includes an X stage, a linear motor (X-axis) which drives the X stage along the X-axis, a linear motor (Y-axis) which drives a Y stage along the Y-axis, a laser interferometer which detects position information of the stages along the X and Y directions, and a controller which controls the linear motor (X-axis) and linear motor (Y-axis) based on a detection result of the laser interferometer. The controller controls the linear motor (Y-axis) based on the position information of the stages along the X direction detected by the laser interferometer, so as to cancel a force generated along the Y-axis by the linear motor (X-axis) when the linear motor (X-axis) is to drive the stage in the X direction.
    Type: Grant
    Filed: April 14, 2005
    Date of Patent: June 20, 2006
    Assignee: Canon Kabushiki Kaisha
    Inventor: Atsushi Kimura
  • Patent number: 7060422
    Abstract: An apparatus for supercritical processing and non-supercritical processing of a workpiece comprises a transfer module, a supercritical processing module, a non-supercritical processing module, and a robot. The transfer module includes an entrance. The supercritical processing module and the non-supercritical processing module are coupled to the transfer module. The robot is preferably located within the transfer module. In operation, the robot transfers a workpiece from the entrance of the transfer module to the supercritical processing module. After supercritical processing, the robot then transfers workpiece from the supercritical processing module to the non-supercritical processing module. After the non-supercritical processing, the robot returns the workpiece to the entrance of the transfer module. Alternatively, the non-supercritical processing is performed before the supercritical processing.
    Type: Grant
    Filed: January 15, 2003
    Date of Patent: June 13, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Maximilian Albert Biberger, Frederick Paul Layman, Thomas Robert Sutton
  • Patent number: 7048316
    Abstract: This invention provides a method and a support device for a wafer transfer process which has a first vertical, second horizontal and third compound angled surfaces, as well as a pair of sidewalls all contiguously connected to one another. The third surface has at least two angled receiving surfaces whereby one of such angled receiving surfaces has a small angle of incline for initially receiving and delivering a wafer. The other angled receiving surface has a steep angle of incline for effectively receiving, holding and transporting a semiconductor wafer by increasing an effective coefficient of friction of the wafer to provide a secure resting point for such wafer during a transfer process while simultaneously increasing the speed thereof. Furthermore, a hole may be provided in the support device for attaching the support device, or a plurality of support devices having holes, to an end-effector.
    Type: Grant
    Filed: July 12, 2002
    Date of Patent: May 23, 2006
    Assignee: Novellus Systems, Inc.
    Inventors: Richard Blank, Simon Chan, Edmund Minshall, Peter Woytowitz
  • Patent number: 7032614
    Abstract: A facilities connection box is provided to accommodate pre-plumbing of facilities lines required in connection with an installation of semiconductor device manufacturing equipment. The facilities connection box accommodates termination of double-containment facilities lines that are adapted to carry hazardous materials required for operation of the semiconductor device manufacturing equipment. Each double-containment facilities line is terminated in an isolation compartment of the facilities connection box. Each isolation compartment has an entry port adapted to couple to an incoming double-containment line and an exit port adapted to permit egress from the isolation compartment of a respective outgoing line.
    Type: Grant
    Filed: January 2, 2003
    Date of Patent: April 25, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Alan Rick Lappen, Ronald V. Schauer
  • Patent number: 7032739
    Abstract: An intermediate product carrying apparatus is provided for loading a carrier, which is carried between a plurality of process systems and stores a plurality of intermediate products, into the corresponding process system, and then transferring the plurality of intermediate products of the carrier to a single wafer carrying conveyer, which carries the intermediate products in a single wafer state to an intermediate product manufacturing apparatus provided in the process system. The intermediate product carrying apparatus comprising: an intermediate product transfer means provided in the process system, for loading the carrier, which is carried between process systems and which stores the plurality of intermediate products, into the corresponding process system, and transferring the intermediate products.
    Type: Grant
    Filed: March 30, 2004
    Date of Patent: April 25, 2006
    Assignee: Seiko Epson Corporation
    Inventors: Shuji Tanaka, Yoshitake Kobayashi, Hisashi Fujimura, Yasutsugu Aoki
  • Patent number: 7027894
    Abstract: An article holder has sensors that detect whether an article held in the holder is a workpiece or a piece of packaging material. Examples are end effectors suitable for picking up semiconductor wafers and packaging material from a pod or some other carrier.
    Type: Grant
    Filed: September 9, 2003
    Date of Patent: April 11, 2006
    Assignee: Tru-Si Technologies, Inc.
    Inventors: Frank E. Kretz, Alexander J. Berger, Sean A. Casarotti
  • Patent number: 7022009
    Abstract: A cleaning system for n semiconductor manufacturing equipment includes a working area and a service area. A wafer process area in the service area performs a semiconductor manufacturing process. A wafer transfer area is spatially connected to the wafer process area, and transfers a wafer from the working area to the wafer process area. An air supply device supplies clean air to the wafer transfer area and the wafer process area. A particle measurer continuously checks for any malfunction or defect of the air supply device, and measures in real time an impurity particle count of the air in the wafer transfer area so as to detect any abnormally high pollution level in the wafer transfer area.
    Type: Grant
    Filed: November 10, 2004
    Date of Patent: April 4, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jong-Ok Kim
  • Patent number: 7018517
    Abstract: A transfer chamber for a substrate processing tool includes a main body having side walls adapted to couple to at least one processing chamber and at least one load lock chamber. The main body houses at least a portion of a robot adapted to transport a substrate between the processing chamber and the load lock chamber. A lid couples to and seals a top of the main body of the transfer chamber. The transfer chamber also has a domed bottom adapted to couple to and to seal a bottom portion of the main body of the transfer chamber.
    Type: Grant
    Filed: June 20, 2003
    Date of Patent: March 28, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Emanuel Beer, Hung T. Nguyen, Wendell T. Blonigan
  • Patent number: 7008124
    Abstract: A substrate processing method comprises stopping the transfer of a head substrate of a succeeding lot for a period which is an integral multiple of a cycle time after a last substrate of a preceding lot is transferred from a cassette section to a processing section by a transfer mechanism, executing dummy dispense of a predetermined time by a solution processing unit during the substrate transfer stop period, and transferring the head substrate of the succeeding lot to the processing section by the transfer mechanism after the dummy dispense.
    Type: Grant
    Filed: May 2, 2005
    Date of Patent: March 7, 2006
    Assignee: Tokyo Electron Limited
    Inventor: Akira Miyata
  • Patent number: 7008879
    Abstract: An apparatus for the treatment of semiconductor wafers, comprising a supportive frame and a process table arranged on the supportive frame. The process table comprises a stationary upper platen and a stationary lower plate. An intermediate indexing plate is rotatively arranged between the upper platen and the lower plate. At least one wafer support pin is attached to the indexing plate for the support of a wafer by the indexing plate. An upper housing is arranged on the upper platen and an outer lower housing is arranged on the lower plate. A displacable lower isolation chamber is disposed within the outer lower housing, being displacable against the indexing plate to define a treatment module between the upper housing and the lower isolation chamber in which the wafer is treated. A wafer supporting treatment plate is arranged within the lower isolation chamber, for controlled rapid treatment of a wafer within the treatment module.
    Type: Grant
    Filed: April 27, 2004
    Date of Patent: March 7, 2006
    Assignee: Semigear, Inc.
    Inventors: Chunghsin Lee, Jian Zhang, Darren M Simonelli, Keith D. Mullins, David A. Wassen
  • Patent number: 7008884
    Abstract: A transfer robot (5) for thin substrate capable of efficiently detecting the stored state of thin substrates and an inspection method for thin substrate capable of accurately detecting the stored state of thin substrates; the robot (5), comprising an inspection camera (1) for detecting the stored state of the thin substrates (3) in a storage cassette (2), wherein the plurality of thin substrates (3) stored in the storage cassette (2) are carried out from the storage cassette (2) by the robot.
    Type: Grant
    Filed: November 26, 2001
    Date of Patent: March 7, 2006
    Assignee: Kabushiki Kaisha Yaskawa Denki
    Inventors: Hitoshi Wakizako, Kazunari Shiraishi, Yukito Sagasaki, Ken-ichi Motonaga, Kazunori Hino, Hiroki Sanemasa
  • Patent number: 6997217
    Abstract: A gas conduit for a load lock chamber. The gas conduit connects to a gas source to introduce gas from the gas source into the load lock chamber of semiconductor equipment. The structure includes a filter mounted on the top surface of the load lock chamber, a pressure limitative device to maintain a preset pressure of gas source, and a gas inlet device including an inlet end connected to the pressure limitative device and an outlet end connected to the filter, wherein the gas inlet device introduces gas from the gas source into the load lock chamber with its maximum flow rate when breaching the vacuum therein.
    Type: Grant
    Filed: November 7, 2002
    Date of Patent: February 14, 2006
    Assignee: Macronix International Co., Ltd.
    Inventors: Shih-Hao Shih, Wei-Chen Chen, Chi-Chen Luo, Hsin-Cheng Liu, Andy Lin
  • Patent number: 6998329
    Abstract: In the process of fabricating an SOI wafer based on the Smart Cut® Process, a stack 34 of an SOI wafer 39 and a residual wafer 38 are separated into the individual wafers using a wafer separation jig 1 of this invention. The wafer separation jig 1 comprises a supporting plane 1p on which the stack 34 is supported in the thickness-wise direction, and a stepped portion 2 disposed on the supporting plane 1p, and having a height adjusted so as to stop movement-by-sliding of the lower wafer of the stack, but so as to allow movement-by-sliding of the upper wafer relative to the lower wafer. Both wafers are separated from each other by inclining the supporting plane 1p with the stack 34 placed thereon, so as to allow the upper wafer to move by sliding as being driven by its own weight in the in-plane direction relative to the lower wafer. This method is successful in effectively suppressing friction between the wafers, and thus in preventing the wafer surface from being scratched.
    Type: Grant
    Filed: July 24, 2002
    Date of Patent: February 14, 2006
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Hiroji Aga, Hiroyuki Takahashi, Kiyoshi Mitani
  • Patent number: 6991710
    Abstract: A method and apparatus for manually and automatically processing microelectronic workpieces. The apparatus can include a tool having a plurality of processing stations, all of which are manually accessible to a user, and an input/output station configured to support at least one microelectronic workpiece for automatic transfer to and from the processing stations. A transfer device is positioned proximate to the input/output station and the processing stations and is configured to automatically transfer microelectronic workpieces between the input/output station and the processing stations. The apparatus can be used for both manual and automatic processing of microelectronic workpieces, either sequentially or simultaneously.
    Type: Grant
    Filed: February 22, 2002
    Date of Patent: January 31, 2006
    Assignee: Semitool, Inc.
    Inventors: Randy Harris, Daniel J. Woodruff
  • Patent number: 6991419
    Abstract: The present invention relates to a transfer apparatus for a wafer, in which the wafer may be transferred in a narrow space by reducing a transfer device footprint. The transfer device has a base, a lower arm, an upper arm and a hand. The lower arm is configured to be vertically adjustable and rotatable on a vertical axis. The upper arm is pivotably coupled to the lower arm, and the hand is horizontally coupled to the upper arm.
    Type: Grant
    Filed: April 10, 2002
    Date of Patent: January 31, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Ki-Sang Kim
  • Patent number: 6990721
    Abstract: A method for installing an automated material handling system in a semiconductor fabrication facility capable of accommodating a predetermined number of fabrication bays. The handling system has a set of elements including material storage, interbay transport, and intrabay transport elements corresponding to the predetermined number of fabrication bays. The method comprises arranging at least some of the material storage, interbay transport and intrabay transport elements into handling system subsets, and installing one or more of the subsets into the fabrication facility. Each subset has at least one or more corresponding ones of the material storage, interbay transport and intrabay transport elements so that when installed each subset forms a transport circuit allowing substantially unrestricted bi-directional travel of semiconductor device holders between the material storage and at least one of the fabrication bays.
    Type: Grant
    Filed: March 21, 2003
    Date of Patent: January 31, 2006
    Assignee: Brooks Automation, Inc.
    Inventors: Thomas R. Mariano, Timothy A. McCabe, Carl Johnson
  • Patent number: 6992316
    Abstract: In a first aspect, an apparatus is provided for detecting substrates. The apparatus includes (1) a transmitter/receiver unit adapted to transmit a light beam through a substrate located within a transfer chamber; (2) a reflector adapted to receive the light beam transmitted from the transmitter/receiver unit and to reflect the transmitted light beam toward the transmitter/receiver unit; and (3) a controller coupled to the transmitter/receiver unit and adapted to determine whether a substrate is positioned between the transmitter/receiver unit and the reflector based on an intensity of the reflected light beam received by the transmitter/receiver unit. At least one of the transmitted and reflected light beams is adapted to strike a substrate positioned between the transmitter/receiver unit and the reflector with non-normal incidence. Numerous other aspects are provided.
    Type: Grant
    Filed: June 20, 2003
    Date of Patent: January 31, 2006
    Assignee: Applied Materials, Inc.
    Inventor: Shinichi Kurita
  • Patent number: 6983532
    Abstract: An in-line programming (ILP) system and method for programming and testing programmable integrated circuit devices (PICs) and performing the assembly of printed circuit board assemblies (PCBAs). Printed circuit boards enter and leave the ILP system on a conveyor system. PICs are loaded into the ILP system, and the ILP system automatically programs and tests the PICs and places them onto the PCBs as the PCBs arrive on the conveyor. The programming and testing operations are performed by the same piece of equipment that performs the PCBA assembly operation.
    Type: Grant
    Filed: February 2, 2004
    Date of Patent: January 10, 2006
    Assignee: BP Microsystems
    Inventor: William H. White
  • Patent number: 6985794
    Abstract: Management of move requests from a factory system to an automated handling system (AMHS) is provided. In one embodiment, a method and system is provided which includes receiving a move request from the factory system and selectively passing the move request to the AMHS based on a comparison of the move request with one or more conditions of the AMHS. The move request may be selectively passed to the AMHS by, for example, passing the move request to the AMHS without modification, changing a destination tool identified in the move request and/or delaying the move request, or canceling the move request. By selectively passing the move request based on conditions of the AMHS, move requests can more efficiently be managed and the throughput of the automated material handling system can be increased.
    Type: Grant
    Filed: September 9, 2002
    Date of Patent: January 10, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Michael R. Conboy, Russel Shirley, Jason Grover
  • Patent number: 6981808
    Abstract: A resist coating/developing system comprises a cassette station, a process station, and an interface station. A second wafer transfer member for transferring the wafer from a high precision temperature control unit mounted to the interface station to an in-stage of a light exposure device provisionally disposes the wafer held by the second wafer transfer member on a restoration unit in the case where the wafer was taken out from the high precision temperature control unit because it was possible to transfer the wafer onto the in-stage, but it was rendered impossible later to transfer the wafer W onto the in-stage.
    Type: Grant
    Filed: January 30, 2003
    Date of Patent: January 3, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Akira Miyata, Makio Higashi, Shigeki Wada
  • Patent number: 6979168
    Abstract: Bays 100, 200, 300 . . . are connected to an inter-bay transfer line 400 via bay stockers 130, 230, 330 . . . , respectively. The bay 100 is, in this embodiment, composed of a single wafer transfer line 120 having a looped planar shape and processing equipments 101–106 arranged side by side along the longitudinal transfer direction of the transfer line (direction crossing the transfer direction of inter-bay transfer line 400). Processing equipments 101–103 are arranged side by side along one side of the transfer line 120, and the remaining processing equipments 104–106 are arranged side by side along the other side of the transfer line 120. The processing equipments 101–106 are equipped with transfer robots 11–16, respectively. Moreover, processing equipments 101–106 are each equipped with a chamber (not shown) for processing wafers W one by one (single wafer processing chamber).
    Type: Grant
    Filed: August 14, 2002
    Date of Patent: December 27, 2005
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yoichi Uchimaki, Yuko Egawa, Tetsunori Kaji
  • Patent number: 6976400
    Abstract: A method of damping a semiconductor wafer handling arm by attaching a spring and a mass coupled to the spring to form a mass spring system that is tuned to vibrate at a structural resonant frequency of the vibrating wafer handling arm. The spring has temperature insensitive spring characteristics and the mass and spring are constructed of materials that do not outgas or produce contaminants in a semiconductor processing environment. The mass spring system is preferably a cantilever beam spring connected to a high response point on the vibrating arm and oriented to vibrate in a plane perpendicular to the plane of the wafer. The mass is slidably adjustable along the length of the cantilever beam spring to adjust the resonant frequency. Vibration damping of the wafer handling arm is accomplished by the transfer of kinetic energy from the vibrating wafer handling arm to the mass spring system.
    Type: Grant
    Filed: May 27, 2004
    Date of Patent: December 20, 2005
    Assignee: Novellus Systems, Inc.
    Inventor: Mark Tan
  • Patent number: 6969863
    Abstract: The arrangement comprises a hot or cool plate (12) that has a substantially planar surface for accommodating the semiconductor substrate and for transmitting heat between the hot or cool plate and the semiconductor substrate, a source (10) of heat or cold that is connected to the hot or cool plate (12) for the purpose of heating or cooling, a device (25) for depositing or lifting the semiconductor substrate (8) onto/from the surface of the hot or cool plate (12). A light source (200) can be used to generate a focused light beam (80), preferably a laser beam, which is detected by a detector (100) with at least one sensor (110–140) after reflection by a wafer (8) deposited on the hot or cool plate (12). A control unit that is connected to the detector (100) for the purpose of evaluating a position of the reflected light beam determines a possible deposition error by comparing the position with one of a wafer (8) deposited with its entire surface on the hot or cool plate (12).
    Type: Grant
    Filed: July 1, 2004
    Date of Patent: November 29, 2005
    Assignee: Infineon Technologies AG
    Inventor: Matthias Foth
  • Patent number: 6969966
    Abstract: In a scanning exposure method, a mask stage that holds a mask is moved in a scanning direction by a first electromagnetic driver having a first portion coupled to the mask stage, and a second portion. A position of the mask stage is detected by a position detector that cooperates with a reflective portion of the mask stage that is positioned along the scanning direction. A counter weight having a bearing and at least one beam extending along the scanning direction moves in a direction opposite to a movement direction of the mask stage in response to a reaction force generated by movement of the mask stage by the first electromagnetic driver. The counter weight preferably is heavier than the mask stage, and a length of the at least one beam along the scanning direction preferably is longer than a length of the reflective portion along the scanning direction.
    Type: Grant
    Filed: October 28, 2004
    Date of Patent: November 29, 2005
    Assignee: Nikon Corporation
    Inventors: Akimitsu Ebihara, Thomas Novak
  • Patent number: 6955595
    Abstract: A clean room system is provided. The clean room includes a plurality of multi-level clean rooms and an air passage. The plurality of multi-level clean rooms has at least a lower clean room and an upper clean room above the lower clean room. The air passage permits air flow between the upper clean room and the lower clean room and smooths the air flow.
    Type: Grant
    Filed: June 17, 2004
    Date of Patent: October 18, 2005
    Assignee: LG.Philips LCD Co., Ltd.
    Inventor: Dong-Han Kim
  • Patent number: 6952886
    Abstract: The present invention provides a new and improved overlay vernier that can increase the overlay measurement accuracy. The overlay vernier of the present invention comprises an inner square vernier and an outer square vernier. The outer vernier comprises a central square opening and four trapezoid-shaped openings surrounding the central square opening.
    Type: Grant
    Filed: November 10, 2003
    Date of Patent: October 11, 2005
    Assignee: 1st Silicon (Malaysia) SDN BHD
    Inventor: Nam Min Kim
  • Patent number: 6953506
    Abstract: A wafer cassette comprises a holding member having a depression corresponding to the shape of the substrate, and a cover having an opening smaller than the surface size of the substrate. The substrate is to be held in the depression by means of the holding member and the cover, and the substrate is to be covered at its one-side surface, side and all peripheral region of the other-side surface, with the holding member at its depression and with the cover at the edge of its opening. Also disclosed are a liquid-phase growth system and a liquid-phase growth process which make use of the wafer cassette.
    Type: Grant
    Filed: October 18, 2001
    Date of Patent: October 11, 2005
    Assignee: Canon Kabushiki Kaisha
    Inventors: Masaaki Iwane, Tetsuro Saito, Tatsumi Shoji, Makoto Iwakami, Takehito Yoshino, Shoji Nishida, Noritaka Ukiyo, Masaki Mizutani
  • Patent number: 6950721
    Abstract: A positioning substrate is used for performing a teaching operation on a transfer mechanism for transferring a target substrate in a semiconductor processing system. The positioning substrate includes a substrate body made of a material selected from the group consisting of the same material as the target substrate, semiconductor, compound semiconductor, and ceramic. The substrate body has an outer contour sized to be handled by the transfer mechanism as an alternative to the target substrate. The positioning substrate also includes a positioning assistant having a combination of a positioning hole and a positioning reference line formed in the substrate body. The positioning hole is formed to penetrate the substrate body in a thickness direction. The positioning reference line is formed on a surface of the substrate body to extend across an opening of the positioning hole and have a predetermined width.
    Type: Grant
    Filed: March 20, 2003
    Date of Patent: September 27, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Makoto Tashiro, Keisuke Kondoh, Hiroaki Saeki
  • Patent number: 6945746
    Abstract: The equipment comprises a semiconductor-processing device in which a load-lock chamber, a transfer chamber and a reaction chamber are modularized into, a main frame, a stand-alone chamber frame on which the semiconductor-processing device is placed, a sliding mechanism for enabling attaching/removing of the chamber frame to/from the main frame smoothly, and a positioning mechanism for fixing a position of the chamber frame. This enables the processing device to be attached and removed at will. The method comprises pulling out from the main frame the chamber frame, on which the modularized semiconductor-processing device is placed; forming a maintenance space inside the main frame; maintaining the semiconductor-processing device and peripherals attached in the vicinity of the main frame, and putting the chamber frame with the processing device back into the main frame.
    Type: Grant
    Filed: February 20, 2003
    Date of Patent: September 20, 2005
    Assignee: ASM Japan K.K.
    Inventors: Takayuki Yamagishi, Takeshi Watanabe, Masaei Suwada
  • Patent number: 6943122
    Abstract: The present invention provides a vacuum processing system for creating processed substrates having a domed lid on at least the transfer chamber. The lid may be provided either convex to the chamber, thus decreasing the volume of the chamber and the amount of microparticulate matter present in the chamber, or concave to the chamber. The invention also provides features to enhance the use of the domed lid, e.g., structural features that decrease lifting of the edges of the lid upon introduction of a vacuum to the chamber.
    Type: Grant
    Filed: November 21, 2003
    Date of Patent: September 13, 2005
    Assignee: Applied Materials, Inc.
    Inventor: Earl G. Powell
  • Patent number: 6943464
    Abstract: A Lorentz actuator provides a force between a first part and a second part of the apparatus, comprising a main magnet system, attached to a first part of the apparatus and providing a first magnetic field; a subsidiary magnet system, attached to the first part and arranged in a Halbach configuration, providing a second magnetic field; and an electrically conductive element attached to a second part of the apparatus and arranged so as to produce a force between the first and second parts of the apparatus by interaction of an electric current carried by the electrically conductive element and the combination of the first and second magnetic fields.
    Type: Grant
    Filed: December 22, 2003
    Date of Patent: September 13, 2005
    Assignee: ASML Netherlands B.V.
    Inventors: Sven Antoin Johan Hol, Jan Van Eijk, Angelo Cesar Peter De Klerk, Harmen Klaas Van Der Schoot
  • Patent number: 6940079
    Abstract: The present invention is directed to accounting for crystal cut error data in ion implantation systems, thereby facilitating more accurate ion implantation. One or more aspects of the invention also consider possible shadowing effects that can result from features formed on the surface of a wafer being doped. According to one or more aspects of the invention, crystal cut error data and optionally feature data also are periodically fed forward in one or more ion implantation stages or systems to ascertain how to re-orient the ion beam with respect to the workpiece to achieve desired implantation results.
    Type: Grant
    Filed: December 8, 2004
    Date of Patent: September 6, 2005
    Assignee: Axcelis Technologies, Inc.
    Inventor: Andrew M. Ray
  • Patent number: RE39241
    Abstract: Modular cartridges which may be inserted into and removed from a well or receptacle on the bottom of a pod. The cartridges may be configured to include various types and combinations of valves, filters, and/or conditioning agents. A standalone cartridge replacement station may be provided for inserting and removing various cartridges from one or more of the receptacles on the bottom of a pod while wafers are seated within the pod. The standalone replacement unit may decouple an existing cartridge from the pod by rotating the cartridge from a locked to an unlocked position and then lowering the cartridge out of the pod. Thereafter, the replacement station may insert a new cartridge up into the appropriate receptacle and rotate the cartridge into a locked position in the pod.
    Type: Grant
    Filed: November 20, 2003
    Date of Patent: August 22, 2006
    Assignee: Entegris, Inc.
    Inventor: William J. Fosnight