Associated With Semiconductor Wafer Handling Patents (Class 414/935)
  • Patent number: 7684895
    Abstract: In a first aspect, a wafer loading station adapted to exchange wafer carriers with a wafer carrier transport system comprises a biasing element adapted to urge the end effector of the wafer loading station away from a moveable conveyor of the wafer carrier transport system upon the occurrence of a unscheduled event such as a power failure or an emergency shutdown. In a second aspect, an uninterruptible power supply commands a controller to cause the wafer carrier handler to retract the end effector from the wafer carrier transport system upon the occurrence of the unscheduled event, and provides the power necessary for the same. Numerous other aspects are provided.
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: March 23, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Michael R. Rice, Eric A. Englhardt, Robert B. Lowrance, Martin R. Elliott, Jeffrey C. Hudgens
  • Patent number: 7684888
    Abstract: In one aspect a factory automation system for a wafer fab is provided. The factory automation system is adapted to facilitate cross-AMHS transfers of wafer lots within a semiconductor foundry. The factory automation system may include a first MCS and an associated first AMHS; a second MCS and an associated second AMHS; and a third MCS and an associated third AMHS. The system may also include a first bridge connecting the first AMHS and the second AMHS to allow a FOUP to travel between the first AMHS and the second AMHS. The system may also include a second bridge connecting the second AMHS and the third AMHS to allow a FOUP to travel between the second AMHS and the third AMHS. The system also includes a unified control unit in communication with the first, second, and third MCSs, the unified control unit for coordinating transfers of FOUPs between the first, second, and third AMHSs.
    Type: Grant
    Filed: May 8, 2007
    Date of Patent: March 23, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsieh-Chi Chen, Chih-Yuan Yu
  • Patent number: 7677859
    Abstract: A substrate processing apparatus having a station for loading and unloading substrates from the apparatus, includes an aperture closure for sealing a loading and unloading aperture of the station, apparatus for removing a door of a substrate magazine and thus opening the substrate magazine, and for operating the aperture closure to open the aperture, and an elevator for precisely positioning the open substrate magazine along a vertical axis within a usable range of motion. The station may also include a sensor for mapping locations of the substrates, and a mini-environment for interfacing the station to a substrate processing system.
    Type: Grant
    Filed: July 21, 2003
    Date of Patent: March 16, 2010
    Assignee: Brooks Automation, Inc.
    Inventors: Ulysses Gilchrist, David R. Beaulieu, Peter Van Der Meulen
  • Patent number: 7677885
    Abstract: A material supply device for diffusion furnaces includes a main body, a fixing pedestal mechanism, a transmission mechanism and a cover mechanism for a furnace door. The main body includes a base portion and a main rod. The base portion is mounted on the main rod and the main rod extends through the base portion. The fixing pedestal mechanism is mounted on the main rod and is pivotedly mounted on a fixing pedestal. The transmission mechanism is mounted on the base portion and has a transmission rod slidably mounted on the base portion. The cover mechanism for a furnace door is fixed on an end of the transmission rod which is far away from the main body and is turnablely mounted on a cover of the furnace door. Based on the above assemblies, the present invention runs smoothly and improves production quality.
    Type: Grant
    Filed: January 18, 2007
    Date of Patent: March 16, 2010
    Assignee: Lite-On Semiconductor Corporation
    Inventors: Cheng-Yi Lin, Ying-Chieh Chan, Hsun-Min Lee
  • Patent number: 7678417
    Abstract: A coating apparatus has a stage module, a temperature regulating module which adjusts a temperature of a substrate to a set temperature, a coating module which applies a coating liquid to the temperature-regulated substrate, and a heating module which heats the coating-liquid applied substrate, arranged in a process section in order from an upstream end of transfer along a transfer path of the substrate, and has a dummy stage placed between the coating module and the heating module so that when by means of a substrate transfer mechanism which has an upper arm and a lower arm, provided one on the other and advanceable and retreatable independently of each other, substrates are transferred one by one from an upstream module to a downstream module in order by alternately operating the upper arm and the lower arm, that arm which performs transfer from the temperature regulating module to the coating module differ from that arm which receives a substrate from the heating module, that numbers are sequentially assig
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: March 16, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Yoshitaka Hara, Yasushi Hayashida
  • Patent number: 7670095
    Abstract: The wafer processing apparatus includes a chamber that is pressurized to a pressure that is higher than the pressure of the exterior thereof, an opening portion through which the interior and the exterior of the chamber are in communication with each other, and a door that closes the opening portion. When the opening portion is closed by the door, a portion of the opening remains as an aperture uncovered by the door. In conventional semiconductor wafer processing apparatus, the interior of the apparatus is sealed and pressurized in order to keep a high degree of cleanness in the wafer processing portion, and therefore airflow is generated due to a pressure difference between the interior and the exterior of the apparatus. With the above feature of the invention, it is possible to suppress creation of such airflow and prevent dust from entering the wafer processing apparatus to eliminate wafer contamination.
    Type: Grant
    Filed: December 30, 2002
    Date of Patent: March 2, 2010
    Assignee: TDK Corporation
    Inventors: Tsutomu Okabe, Hiroshi Igarashi
  • Patent number: 7660646
    Abstract: A reticle stocking and sorting system. The reticle management system comprises first reticle storage, second reticle storage, third reticle storage, and a host system. The first reticle storage stores a first reticle currently in use. The second reticle storage stores a second reticle not currently in use. The third reticle storage stores a third unused reticle temporarily before it is disposed of. The host system is adapted to rearrange the first, second, and third reticles among the first, second, and third reticle storages, based on demand data pertaining to a product requiring least one article during fabrication.
    Type: Grant
    Filed: March 26, 2004
    Date of Patent: February 9, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yao-Chin Lee, Chia-Yun Tsao, Chih-Yee Chen
  • Patent number: 7658586
    Abstract: A wafer processing system and method in which a wafer, having a diameter, is movable between a loadlock and a processing chamber. A transfer chamber is arranged for selective pressure communication with the loadlock and the processing chamber. The transfer chamber having a configuration of lateral extents such that the wafer is movable through the transfer chamber between the loadlock and processing chamber along a wafer transfer path and the configuration of lateral extents causes the wafer, having the wafer diameter and moving along the wafer transfer path, to interfere with at least one of the loadlock and the processing chamber for any position along the wafer transfer path. The wafer includes a center and the wafer transfer path cab be defined by movement of the center through the transfer chamber. Swing arms are described that can independently move by different angles in opposing directions from a home position.
    Type: Grant
    Filed: April 1, 2005
    Date of Patent: February 9, 2010
    Assignee: Mattson Technology, Inc
    Inventors: Leszek Niewmierzycki, David Barker, Michael Kuhlman, Ryan Pakulski, Hongqing Shan, Martin Zucker
  • Patent number: 7654291
    Abstract: It is an object of the present invention to easily and securely perform the removal operation of contaminant or the like from a wafer housed in a FOUP. To achieve the object, a purging apparatus of the present invention removes contaminant or the like from a wafer by moving a gas supply nozzle along a direction in which wafers are superimposed at the front of an opening while a lid of the FOUP is separated from a body and spraying clean gas on each wafer from the gas supply nozzle.
    Type: Grant
    Filed: April 28, 2004
    Date of Patent: February 2, 2010
    Assignee: TDK Corporation
    Inventors: Toshihiko Miyajima, Hiroshi Igarashi, Hitoshi Suzuki
  • Patent number: 7651925
    Abstract: A frame and vacuum expansion chuck are used in combination for stretching a tape carrying a plurality of singulated devices to facilitate removal of the devices with reduced risk of contact between a device being removed from the tape and an adjacent device on the tape. The combination includes a frame for holding edges of a tape carrying a plurality of singulated devices, and a vacuum chuck having upper surfaces for contacting an underside of a tape carrying a plurality of singulated devices. The vacuum chuck extends along a perimeter circumscribing the singulated devices, and at least one groove is defined in the upper surface of the vacuum chuck. Conduit for providing fluid communication between the groove and a vacuum source are provided. Upon evacuation of the volume defined between the groove and the tape, the tape is drawn down into the groove and stretched, thereby increasing the separation or gap between adjacent dice and reducing the risk of damage upon removal of the dice.
    Type: Grant
    Filed: March 1, 2007
    Date of Patent: January 26, 2010
    Assignee: Delphi Technologies, Inc.
    Inventor: M. Todd Wyant
  • Patent number: 7651306
    Abstract: Embodiments of the invention provide a method and apparatus for processing substrates using a multi-chamber processing system, or cluster tool, that has an increased system throughput, and a reduced footprint. The various embodiments of the cluster tool may utilize two or more robots that are configured in a parallel processing configuration to transfer substrates between the various processing chambers retained in the processing racks so that a desired processing sequence can be performed on the substrates. Generally, the various embodiments described herein are advantageous since each row or group of substrate processing chambers are serviced by two or more robots to allow for increased throughput and increased system reliability. Also, the various embodiments described herein are generally configured to minimize and control the particles generated by the substrate transferring mechanisms, to prevent device yield and substrate scrap problems that can affect the cost of ownership of the cluster tool.
    Type: Grant
    Filed: December 22, 2005
    Date of Patent: January 26, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Mike Rice, Jeffrey Hudgens, Charles Carlson, William Tyler Weaver, Robert Lowrance, Eric Englhardt, Dean C. Hruzek, Dave Silvetti, Michael Kuchar, Kirk Van Katwyk, Van Hoskins, Vinay Shah
  • Patent number: 7640072
    Abstract: A substrate processing apparatus, according to which inspection of various devices in the substrate processing apparatus can be carried out with improved reliability, while reducing the burden on a user. A processing chamber processes semiconductor wafers therein. A transfer chamber transfers the semiconductor wafers. A FOUP (front opening unified pod) houses a plurality of dummy wafers for inspection of the processing chamber or the transfer chamber. A CPU causes an HDD (hard disk drive) to store a housing state relating to the arrangement of the dummy wafers in the FOUP before replacement of dummy wafers in the FOUP and that after the replacement as dummy wafer setup information.
    Type: Grant
    Filed: May 23, 2008
    Date of Patent: December 29, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Noriaki Shimizu, Masahiro Numakura
  • Patent number: 7622006
    Abstract: A main carrying device forming a part of a processing system, comprising a casing (40) forming a main carrying chamber (44) having vacuum atmosphere, the casing (40) further comprising a plurality of transfer ports (52A, 52B) for transferring the processed body (W) between the carrying chamber 44 and the outside, a mobile body (58) is slidably installed on a guide rail (48) horizontally installed in the carrying chamber (44), a linear motor mechanism (54, 62) for moving the mobile body (58) along the guide rail (48) is installed, a holding body (64) for holding the processed body (W) is liftably connected to the mobile body (58) through a support member (66), and a lifting mechanism (74) for lifting the support member (66) relative to the mobile body (58) is installed in the casing (40) at a position corresponding to the transfer ports (52A, 52B).
    Type: Grant
    Filed: December 25, 2002
    Date of Patent: November 24, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Ishizawa, Hiroaki Saeki
  • Patent number: 7622008
    Abstract: A gate valve (20) for a semiconductor processing system includes a housing (21) forming a plurality of passages (22A to 22D) arrayed in a first direction. The passages respectively have ports (23A to 23D) facing a first predetermined side in a second direction perpendicular to the first direction. The ports are respectively provided with valve seats (25A to 25D) at gradually set back positions in the second direction, as being closer to a second predetermined side in the first direction. Valve plates (24A to 24D) are arrayed in the second direction to open/close the ports. The valve plates are slid by an actuating mechanism (30A to 30D).
    Type: Grant
    Filed: February 27, 2004
    Date of Patent: November 24, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Tsutomu Hiroki
  • Patent number: 7614840
    Abstract: The wafer processing apparatus includes a chamber that is pressurized to a pressure that is higher than the pressure of the exterior thereof, an opening portion through which the interior and the exterior of the chamber are in communication with each other, and a door that closes the opening portion. When the opening portion is closed by the door, a portion of the opening remains as an aperture uncovered by the door. In conventional semiconductor wafer processing apparatus, the interior of the apparatus is sealed and pressurized in order to keep a high degree of cleanness in the wafer processing portion, and therefore airflow is generated due to a pressure difference between the interior and the exterior of the apparatus. With the above feature of the invention, it is possible to suppress creation of such airflow and prevent dust from entering the wafer processing apparatus to eliminate wafer contamination.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: November 10, 2009
    Assignee: TDK Corporation
    Inventors: Tsutomu Okabe, Hiroshi Igarashi
  • Patent number: 7611319
    Abstract: In at least one aspect, a system is provided that includes (1) a substrate carrier having first docking features; and (2) a loadport having second docking features. The second docking features are adapted to block docking of substrate carriers that do not include the first docking features and to allow docking of substrate carriers that include the first docking features. Numerous other aspects are provided.
    Type: Grant
    Filed: June 16, 2005
    Date of Patent: November 3, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Vinay Shah, Martin R. Elliott, Jeffrey C. Hudgens, Eric Andrew Englhardt
  • Patent number: 7611322
    Abstract: There is described a wafer processing system for thinned wafers that are easily broken during handling. The system protects against breakage during handling and provides for temperature controls during processing.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: November 3, 2009
    Assignee: Intevac, Inc.
    Inventors: Terry Bluck, Stuart Scollay, Edric Tong
  • Patent number: 7611124
    Abstract: A grease supply mechanism is provided inside a vacuum transfer chamber. The grease supply mechanism includes: a grease storage part in a bottomed cylindrical shape storing grease therein; and a movable cover covering an opening of the grease storage part and sliding while being in contact with an inner wall of the grease storage part. When the cover is pressed and moved, the grease is supplied into a grease inlet via a grease supply port.
    Type: Grant
    Filed: December 9, 2005
    Date of Patent: November 3, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Takehiro Shindo
  • Patent number: 7607879
    Abstract: A substrate processing apparatus having a frame, a housing, an access system and at least a substrate transport apparatus or a substrate processing device. The housing is connected to the frame. The access system is connected to the frame and forms an access through which substrates are moved in and out of the housing. The substrate transport apparatus or substrate processing device are connected to the frame and are at least partially positioned in the housing. The frame comprises a movable portion. The movable portion is movable relative to the frame so that movement of the movable portion causes separation of the access system and at least one of the substrate transport apparatus or substrate processing device from an installed position. The substrate transport apparatus is selectable from a number of different interchangeable substrate transport apparatus.
    Type: Grant
    Filed: June 15, 2005
    Date of Patent: October 27, 2009
    Assignee: Brooks Automation, Inc.
    Inventors: Daniel A. Hall, Christopher Hofmeister, William Fosnight, Robert T. Caveney, Ulysses Gilchrist, Jeff G. Araujo
  • Patent number: 7607880
    Abstract: The wafer processing apparatus includes a chamber that is pressurized to a pressure that is higher than the pressure of the exterior thereof, an opening portion through which the interior and the exterior of the chamber are in communication with each other, and a door that closes the opening portion. When the opening portion is closed by the door, a portion of the opening remains as an aperture uncovered by the door. In conventional semiconductor wafer processing apparatus, the interior of the apparatus is sealed and pressurized in order to keep a high degree of cleanness in the wafer processing portion, and therefore airflow is generated due to a pressure difference between the interior and the exterior of the apparatus. With the above feature of the invention, it is possible to suppress creation of such airflow and prevent dust from entering the wafer processing apparatus to eliminate wafer contamination.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: October 27, 2009
    Assignee: TDK Corporation
    Inventors: Tsutomu Okabe, Hiroshi Igarashi
  • Patent number: 7597531
    Abstract: Embodiments of the invention are directed to a method of controlling a mover device The method includes generating a moving force from a moving force generating unit to move a processing base with respect to a movable base, thereby moving the processing base with respect to a fixed base as a result of the movement of the processing base with respect to the movable base; moving the movable base on the fixed base in the opposite direction to the moving direction of the processing base by virtue of a reaction force caused by the moving force generated from the moving force generating unit to move the processing base, so that the movable base moves in the opposite direction to the moving direction of the processing base on the fixed base. The method further includes controlling the moving velocity of the processing base with respect to the fixed base.
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: October 6, 2009
    Assignee: SEN Corporation, an SHI and Axcelis Company
    Inventors: Keiji Okada, Michiro Sugitani, Yoshitomo Hidaka, Junichi Murakami, Fumiaki Sato, Mitsukuni Tsukihara, Suguru Hirokawa, Masamitsu Shinozuka
  • Patent number: 7585686
    Abstract: A method of a single wafer wet/dry cleaning apparatus comprising: a transfer chamber having a wafer handler contained therein; a first single wafer wet cleaning chamber directly coupled to the transfer chamber; and a first single wafer ashing chamber directly coupled to the transfer chamber.
    Type: Grant
    Filed: October 26, 2007
    Date of Patent: September 8, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Steven Verhaverbeke, J Kelly Truman, Christopher T Lane, Sasson R Somekh
  • Patent number: 7585141
    Abstract: A load lock system includes a first load lock defining a first chamber, a second load lock defining a second chamber, and a vacuum pumping system to vacuum pump the first and second chambers. The vacuum pumping system includes a high vacuum pump, a first valve to connect the first chamber to an inlet of the high vacuum pump in a first pumping mode, and a second valve to connect the second chamber to the inlet of the high vacuum pump in a second pumping mode. The high vacuum pump may be a turbomolecular pump. The vacuum pumping system may include a valve manifold block located between the first and second load locks. The first and second valves may be mounted in the valve manifold block. The vacuum pumping system may further include a shared water pump.
    Type: Grant
    Filed: February 1, 2005
    Date of Patent: September 8, 2009
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Morgan Evans, Douglas E. May
  • Patent number: 7577487
    Abstract: A band to band transfer module according to the present invention may be used with a substrate carrier transport system, or other systems, to transfer substrate carriers (e.g., small lot substrate carriers) from one conveyor to another conveyor or between two points on the same conveyor. The transfers (e.g., pick and place) of the substrate carriers may be made between conveyors traveling at different speeds. Numerous other aspects and features are disclosed.
    Type: Grant
    Filed: September 13, 2006
    Date of Patent: August 18, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Robert B. Lowrance, Eric Andrew Englhardt, Michael R. Rice, Vinay Shah, Sushant S. Koshti, Jeffrey C. Hudgens
  • Patent number: 7575406
    Abstract: A semiconductor workpiece processing apparatus having a first chamber, a transport vehicle, and another chamber. The first chamber is capable of being isolated from an outside atmosphere. The transport vehicle is located in the first chamber and is movably supported from the first chamber for moving linearly relative to the first chamber. The transport vehicle includes a base, and an integral semiconductor workpiece transfer arm movably mounted to the base and capable of multi-access movement relative to the base. The other chamber is communicably connected to the first chamber via a closable opening of the first chamber. The opening is sized to allow the transport vehicle to transit between the first chamber and the other chamber through the opening.
    Type: Grant
    Filed: July 22, 2003
    Date of Patent: August 18, 2009
    Assignee: Brooks Automation, Inc.
    Inventors: Christopher Hofmeister, Robert T. Caveney
  • Patent number: 7563043
    Abstract: In a coating/developing apparatus, a process section includes post-exposure baking units each having a waiting position and configured to perform a baking process on a substrate. An interface section transfer mechanism includes a first transfer mechanism configured to transfer the substrate to and from the process section and to load the substrate into the post-exposure baking units, and a second transfer mechanism configured to transfer the substrate to and from the light exposure apparatus. An interface section includes a relay position configured to place thereon the substrate transferred by the second transfer mechanism, and to allow the first transfer mechanism to receive the substrate therefrom. A control section is arranged to set the substrate on standby at the relay position and the waiting position, to make a time period constant among substrates from an end of the light exposure process to a start of a post-exposure baking process.
    Type: Grant
    Filed: October 23, 2007
    Date of Patent: July 21, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Tomohiro Kaneko, Akira Miyata
  • Patent number: 7549811
    Abstract: A forward direction-only path (first substrate transport path) is formed for transporting substrates in a forward direction to pass the substrates on to an exposing apparatus. A separate, substrate transport path (second substrate transport path) is formed exclusively for post-exposure bake (PEB). Substrate transport along each path is carried out independently of substrate transport along the other. A fourth main transport mechanism is interposed as a predetermined substrate transport mechanism between transfer points consisting of a buffer acting as a temporary storage module for temporarily storing the substrates and a post-exposure bake (PEB) unit corresponding to a predetermined treating unit. This arrangement forms the path for transporting the substrates between the buffer and the PEB unit, to allow PEB treatment of the substrates to be performed smoothly. Similarly, the substrates are transported smoothly to the buffer.
    Type: Grant
    Filed: November 30, 2007
    Date of Patent: June 23, 2009
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Yoshihisa Yamada, Masafumi Maeda, Takashi Taguchi
  • Patent number: 7551265
    Abstract: Techniques for minimizing contamination by particles that wear off of components that come into and out of contact with each other. The invention involves forming at least one of the components out of a magnetic material so that the particles that wear off of the component are magnetic themselves. Then a magnetic field is used to attract the particles. In one embodiment, the particles are attracted to and collected by a magnet. In this way, the particles are drawn away from any components that might be contaminated. In some embodiments, the magnetic component is also magnetized. In other embodiments, a magnet is placed in contact with the magnetic component. In other embodiments, each of the components that come into contact with each other are formed of a magnetic material.
    Type: Grant
    Filed: October 1, 2004
    Date of Patent: June 23, 2009
    Assignee: Nikon Corporation
    Inventor: Alton H. Phillips
  • Patent number: 7537108
    Abstract: According to a first aspect, a first conveyor system is provided that is adapted to deliver substrate carriers within a semiconductor device manufacturing facility. The first conveyor system includes a ribbon that forms a closed loop along at least a portion of the semiconductor device manufacturing facility. The ribbon is adapted to (1) be flexible in a horizontal plane and rigid in a vertical plane; and (2) transport a plurality of substrate carriers within at least a portion of the semiconductor device manufacturing facility. Numerous other aspects are provided, as are systems, methods and computer program products in accordance with these and other aspects.
    Type: Grant
    Filed: August 14, 2007
    Date of Patent: May 26, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Michael R. Rice, Robert B. Lowrance, Martin R. Elliott, Jeffrey C. Hudgens, Eric A. Englhardt
  • Patent number: 7537425
    Abstract: The wafer processing apparatus includes a chamber that is pressurized to a pressure that is higher than the pressure of the exterior thereof, an opening portion through which the interior and the exterior of the chamber are in communication with each other, and a door that closes the opening portion. When the opening portion is closed by the door, a portion of the opening remains as an aperture uncovered by the door. In conventional semiconductor wafer processing apparatus, the interior of the apparatus is sealed and pressurized in order to keep a high degree of cleanness in the wafer processing portion, and therefore airflow is generated due to a pressure difference between the interior and the exterior of the apparatus. With the above feature of the invention, it is possible to suppress creation of such airflow and prevent dust from entering the wafer processing apparatus to eliminate wafer contamination.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: May 26, 2009
    Assignee: TDK Corporation
    Inventors: Tsutomu Okabe, Hiroshi Igarashi
  • Patent number: 7532004
    Abstract: An inspection device is used for inspecting a thin plate container having a stage guide with insulation property for supporting a thin plate one by one. The inspection device includes a conductive plate having an upper surface and a lower surface and supported on the stage guide; a shaft disposed above the upper surface or below the lower surface; and a contact member abutting against the conductive plate. The conductive plate, the shaft, and the contact member respectively have conductivity.
    Type: Grant
    Filed: April 5, 2007
    Date of Patent: May 12, 2009
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Katsuhiro Yoshino
  • Patent number: 7521089
    Abstract: Method and apparatus for controlling the migration of reaction by-product gases from a chemical vapor deposition (CVD) process chamber to a transfer vacuum chamber shared by other process chambers. Separate regulated flows of purge gas are provided to the CVD process chamber and the transfer vacuum chamber before establishing a pathway for substrate transfer. A pressure differential is created between the transfer vacuum chamber and the CVD process chamber that reduces or prevents the migration of CVD reaction by-product gases arising from the establishment of the substrate transfer pathway. While the pathway is established, a directional flow of purge gas is maintained from the transfer vacuum chamber into the CVD process chamber.
    Type: Grant
    Filed: June 13, 2002
    Date of Patent: April 21, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Joseph T. Hillman, John G. North, Steven P. Caliendo, John J. Hautala
  • Patent number: 7506752
    Abstract: According to a first aspect, a first conveyor system is provided that is adapted to deliver substrate carriers within a semiconductor device manufacturing facility. The first conveyor system includes a ribbon that forms a closed loop along at least a portion of the semiconductor device manufacturing facility. The ribbon is adapted to (1) be flexible in a horizontal plane and rigid in a vertical plane; and (2) transport a plurality of substrate carriers within at least a portion of the semiconductor device manufacturing facility. Numerous other aspects are provided, as are systems, methods and computer program products in accordance with these and other aspects.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: March 24, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Michael R. Rice, Robert B. Lowrance, Martin R. Elliott, Jeffrey C. Hudgens, Eric A. Englhardt
  • Patent number: 7503762
    Abstract: A substrate processing apparatus having heat treatment apparatuses for treating a processing target substrate at a predetermined temperature includes: a temperature adjustment mechanism provided in each of the heat treatment apparatuses forming a plurality of heat treatment apparatus blocks for adjusting a temperature of the processing target substrate; a moving mechanism for moving the temperature adjustment mechanism; an exhaust mechanism for exhausting a gas from the heat treatment apparatus; supply ports for supplying a temperature adjustment liquid to temperature adjustment apparatuses; recovery ports for collecting the liquid supplied to the temperature adjustment apparatuses; and a control mechanism for recognizing temperature information of the liquid recovered from recovery ports.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: March 17, 2009
    Assignee: SNF Solution Co., Ltd.
    Inventor: Kim Dong-Hun
  • Patent number: 7504794
    Abstract: A common electrode plate formed on a common electrode base attached to the lower surface of the movable stage faces a split electrode plate formed on a split electrode base attached to the upper surface of a main base, which are apart from each other at a predetermined interval. A support mechanism formed of ball bearings, ball stoppers at the movable side, steel balls, ball stoppers at the fixed side, and ball bearings allows the movable stage to move in directions of the X-axis, Y-axis and turning. A linear actuator 19 is driven to move the movable stage in the directions of X-axis, Y-axis, and turning.
    Type: Grant
    Filed: November 29, 2006
    Date of Patent: March 17, 2009
    Assignee: Chiba Precision Co., Ltd.
    Inventors: Hideaki Sato, Kennosuke Kondo
  • Patent number: 7499767
    Abstract: In a first aspect, a substrate positioning system includes a plurality of pushers arranged in a spaced relation about a stage adapted to support a substrate. Each pusher is adapted to assume a retracted position so as to permit the substrate to be loaded onto and unloaded from the stage, extend toward an edge of the substrate that is supported by the stage, contact the edge of the substrate, and continue extending so as to cause the substrate to move relative to the stage until the substrate is calibrated to the stage. Numerous other aspects are provided.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: March 3, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Emanuel Beer
  • Patent number: 7497633
    Abstract: A substrate processing apparatus comprises an indexer block, an anti-reflection film processing block, a resist film processing block, a drying/development processing block, and an interface block. An exposure device is arranged adjacent to the interface block. The drying/development processing block comprises a drying processing group. The interface block comprises an interface transport mechanism. A substrate is subjected to exposure processing by the exposure device, and subsequently transported to the drying processing group by the interface transport mechanism. The substrate is cleaned and dried by the drying processing group.
    Type: Grant
    Filed: November 10, 2005
    Date of Patent: March 3, 2009
    Assignee: Sokudo Co., Ltd.
    Inventors: Koji Kaneyama, Shuji Shibata, Tsuyoshi Okumura, Shuichi Yasuda, Masashi Kanaoka, Tadashi Miyagi, Kazuhito Shigemori
  • Patent number: 7497912
    Abstract: A cell controller controls the operation of a transport robot to keep a substrate belonging to a succeeding lot carried into a heating part in the fourth transport cycle from being transported out of the heating part in the next or fifth transport cycle, thereby preventing interference between the transport of substrates belonging to the succeeding lot and the transport of substrates belonging to a preceding lot. If interference is likely to occur between the transport of the substrates belonging to the succeeding lot and the transport of the substrates belonging to the preceding lot, the cell controller causes the substrates belonging to the succeeding lot not to be transported but to remain in processing units. This allows the transport of the substrates belonging to the succeeding lot in consideration of only the next transport cycle.
    Type: Grant
    Filed: September 22, 2004
    Date of Patent: March 3, 2009
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Yasufumi Koyama, Kenji Hashinoki, Takaharu Yamada
  • Patent number: 7494107
    Abstract: An isolation valve is preferably applied to the semiconductor industry for sealing a process vessel and also operates effectively at plus-atmospheric pressures. A double containment gate valve assembly includes a housing and a movable head assembly within the housing. The housing includes a first access opening and a second access opening. The head assembly is configurable into a first position where an access path through the first and second access openings is clear, and a second position where the access path is blocked.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: February 24, 2009
    Assignee: Supercritical Systems, Inc.
    Inventors: Alexei Sheydayi, Thomas Sutton
  • Patent number: 7490878
    Abstract: A device for safely handling electrically sensitive objects. A grounded dissipative material is used to form a handling surface so that electrically charged objects handled by the wand can slowly discharge without becoming damaged.
    Type: Grant
    Filed: December 29, 2003
    Date of Patent: February 17, 2009
    Assignee: Storage Technology Corporation
    Inventors: Brian Joseph Opansky, Wayne Boone
  • Patent number: 7490650
    Abstract: A workpiece processing device (10) for processing a workpiece (60; 20, 36) comprises: a surface protection film peeling means (50) for peeling a surface protection film (110), which is adhered to a front surface (21) of a workpiece, with a peeling tape (4); a bar code adhering means (11) for adhering a bar code (65) corresponding to the workpiece to the workpiece; and a movable support table (72) for supporting the workpiece. A peeling operation for peeling the surface protection film conducted by the surface protection film peeling means and a adhering operation for adhering a bar code conducted by the bar code adhering means are given to the workpiece while the workpiece is being supported by the support table. Due to the foregoing, it is possible to avoid failures when adhering the bar code to the workpiece, such as a wafer. The bar code adhering means may adhere the bar code, which corresponds to character information of the workpiece read out by an optical reading means, to the workpiece.
    Type: Grant
    Filed: May 22, 2007
    Date of Patent: February 17, 2009
    Assignee: Tokyo Seimitsu Co., Ltd.
    Inventors: Isamu Kawashima, Hideshi Sato, Hideo Kino, Minoru Ametani
  • Patent number: 7482555
    Abstract: A substrate transportation device includes a housing for transporting substrates. The housing is formed of an upper surface, a lower surface, and opposing sidewalls. The housing has a rear opening through which the substrates enter the housing and a front opening through which the substrates exit the housing. A plurality of hollow supporting members are disposed within the housing and affixed to the opposing sidewalls which are formed by a plurality of columns. The hollow supporting members have a plurality of apertures in an upper surface for supplying a medium to a lower surface of the plurality of substrates. A medium supply member transfers the medium toward the hollow supporting member. The medium transferred by the medium supply member is delivered through the apertures in the upper surface of the plurality of hollow supporting members to float the substrates on a cushion of air.
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: January 27, 2009
    Assignee: Au Optronics Corporation
    Inventors: Shiang-Chiang Liu, Tsung-Lin Lu, Ying-Chi Chen
  • Patent number: 7476960
    Abstract: According to one embodiment of the invention, a method for auto-boating includes supporting a tape substrate having first and second end portions on a boat, sandwiching the first and second end portions between respective ones of a pair of end sleeves and the boat, coupling a boat clip to the boat, and removing the end sleeves from between the first and second end portions and the boat clip.
    Type: Grant
    Filed: October 2, 2006
    Date of Patent: January 13, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Mark Gerald M Cruz, Jerry G Cayabyab, Edward R De la Rosa
  • Publication number: 20090000908
    Abstract: A system for buffering articles in transport is provided. The system comprises a buffer module configured to buffer articles and a computing system. The buffer module includes a first conveyor configured to transport the articles and a transference node configured to transfer the articles between the first conveyor and an external location. The computing system is configured to maintain an inventory list including a present location of each of the articles buffered by the buffer module. The computing system is further configured to control operation of the buffer module to transfer a selected article among the buffered articles to the external location.
    Type: Application
    Filed: July 15, 2008
    Publication date: January 1, 2009
    Inventors: Michael D. Brain, Chun-Chieh Lin
  • Publication number: 20080310939
    Abstract: A system for use in semiconductor manufacture including a process tool for processing wafers; a buffer coupled to the process tool for holding wafer waiting to be processed by the process tool; an input load port coupled to the buffer for feeding in wafers ready for processing from a load-in container; an output load port coupled to the process tool for feeding out wafers that have been processed by the process tool to a load-out container; and a track module for transporting the wafers between the buffer, the process tool, and the input and output load ports.
    Type: Application
    Filed: June 15, 2007
    Publication date: December 18, 2008
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chieh-Huan Ku, Yung-Ho Chen, Jyh-Chang Lin, Richard Peng
  • Publication number: 20080304944
    Abstract: A semiconductor manufacturing line includes an inert environment selected from the group consisting essentially of an inert airtight wafer holder, an inert wafer transport channel, an inert production tool, an inert clean room, and combinations thereof.
    Type: Application
    Filed: June 29, 2007
    Publication date: December 11, 2008
    Inventors: Chien-Ming Sung, Simon Wang, Jia-Ren Chen, Henry Lo, Chen-Hua Yu, Jean Wang, Kewei Zuo
  • Patent number: 7462814
    Abstract: Methods and systems for evaluating and controlling a lithography process are provided. For example, a method for reducing within wafer variation of a critical metric of a lithography process may include measuring at least one property of a resist disposed upon a wafer during the lithography process. A critical metric of a lithography process may include, but may not be limited to, a critical dimension of a feature formed during the lithography process. The method may also include altering at least one parameter of a process module configured to perform a step of the lithography process to reduce within wafer variation of the critical metric. The parameter of the process module may be altered in response to at least the one measured property of the resist.
    Type: Grant
    Filed: February 1, 2006
    Date of Patent: December 9, 2008
    Assignee: KLA-Tencor Technologies Corp.
    Inventors: Suresh Lakkapragada, Kyle A. Brown, Matt Hankinson, Ady Levy
  • Patent number: 7457680
    Abstract: The present invention relates to conveyance method for transporting a plurality of unprocessed/processed objects between an automatic transporting device (AGV) and a semiconductor manufacturing device (prober). The prober has a load port to/from which the objects are delivered from/to the AGV. The method comprises the steps of: making a communication between the AGV and the prober to decide respective timings of deliveries of the objects to/from the load port; and transporting the objects between the AGV and the prober via the load port, with the timings of the deliveries of the objects to/from the load port shifted from each other by using a holding site for provisionally holding the object. The holding site is at least one of components of the AGV and the prober other than the load port.
    Type: Grant
    Filed: October 18, 2006
    Date of Patent: November 25, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Shuji Akiyama, Toshihiko Iijima, Hiroki Hosaka
  • Patent number: 7453160
    Abstract: The present invention is directed to aligning wafers within semiconductor fabrication tools. More particularly, one or more aspects of the present invention pertain to quickly and efficiently finding an alignment marking, such as an alignment notch, on a wafer to allow the wafer to be appropriately oriented within an alignment tool. Unlike conventional systems, the notch is located without firmly holding and spinning or rotating the wafer. Exposure to considerable backside contaminants is thereby mitigated and the complexity and/or cost associated with aligning the wafer is thereby reduced.
    Type: Grant
    Filed: April 23, 2004
    Date of Patent: November 18, 2008
    Assignee: Axcelis Technologies, Inc.
    Inventor: Andrew M. Ray
  • Patent number: 7445119
    Abstract: Cavities for holding semiconductor chips are etched anisotropically into a semiconductor wafer. An orientation of the wafer in the (100) pulling direction results in geometrically exactly etched sidewalls of the cavities with an angle of 125.3°. What is thereby achieved is that chips can slip into the cavity with a low risk of damage. A transparent cover plate is situated on the cavity plate.
    Type: Grant
    Filed: April 29, 2004
    Date of Patent: November 4, 2008
    Assignee: Osram Opto Semiconductors GmbH
    Inventors: Mathias Kaempf, Frank Singer, Jurgen Dachs, Alfred Bachler