Inorganic Carbon Containing Coating Material, Not As Steel (e.g., Carbide, Etc.) Patents (Class 427/577)
  • Patent number: 6579573
    Abstract: This invention relates to methods whereby nanoparticle liquid suspensions are used in conventional thermal spray deposition for the fabrication of high-quality nanostructured coatings. Ultrasound is used for disintegration of the as-synthesized particle agglomerates, nanoparticle dispersion in liquid media, and liquid precursor atomization.
    Type: Grant
    Filed: May 20, 1999
    Date of Patent: June 17, 2003
    Assignees: The University of Connecticut, Rutgers The State University of New Jersey
    Inventors: Peter R. Strutt, Bernard H. Kear, Ross F. Boland
  • Patent number: 6577045
    Abstract: The present invention may be used in the production of highly efficient films for electron field emitters. The cold-emission cathode of the present invention comprises a substrate having a carbon film with an irregular structure applied thereon. This structure comprises carbon micro- and nano-ridges and/or micro- and nano-threads which are perpendicular to the surface of the substrate, which have a typical size of between 0.005 and 1 micron as well as a distribution density of between 0.1 and 100 &mgr;m−2, and which are coated with a diamond nano-film whose thickness represents a fraction of a micron. The method for producing the cathode involves sequentially depositing two carbon films. A carbon film with nano-barbs is first deposited on a substrate arranged on an anode by igniting a direct-current discharge at a density of between 0.15 and 0.5 A.
    Type: Grant
    Filed: January 5, 2001
    Date of Patent: June 10, 2003
    Inventors: Alexandr Alexandrovich Blyablin, Anton Valerievich Kandidov, Mikhail Arkadievich Timofeev, Boris Vadimovich Seleznev, Andrei Alexandrovich Pilevsky, Alexandr Tursunovich Rakhimov, Nikolai Vladislavovich Suetin, Vladimir Anatolievich Samorodov
  • Patent number: 6572935
    Abstract: A plasma-based method for the deposition of diamond-like carbon (DLC) coatings is described. The process uses a radio-frequency inductively coupled discharge to generate a plasma at relatively low gas pressures. The deposition process is environmentally friendly and scaleable to large areas, and components that have geometrically complicated surfaces can be processed. The method has been used to deposit adherent 100-400 nm thick DLC coatings on metals, glass, and polymers. These coatings are between three and four times harder than steel and are therefore scratch resistant, and transparent to visible light. Boron and silicon doping of the DLC coatings have produced coatings having improved optical properties and lower coating stress levels, but with slightly lower hardness.
    Type: Grant
    Filed: October 27, 1999
    Date of Patent: June 3, 2003
    Assignee: The Regents of the University of California
    Inventors: Xiao-Ming He, Deok-Hyung Lee, Michael A. Nastasi, Kevin C. Walter, Michel G. Tuszewski
  • Patent number: 6572937
    Abstract: Fluorinated, diamond-like carbon (F-DLC) films are produced by a pulsed, glow-discharge plasma immersion ion processing procedure. The pulsed, glow-discharge plasma was generated at a pressure of 1 Pa from an acetylene (C2H2) and hexafluoroethane (C2F6) gas mixture, and the fluorinated, diamond-like carbon films were deposited on silicon <100>substrates. The film hardness and wear resistance were found to be strongly dependent on the fluorine content incorporated into the coatings. The hardness of the F-DLC films was found to decrease considerably when the fluorine content in the coatings reached about 20%. The contact angle of water on the F-DLC coatings was found to increase with increasing film fluorine content and to saturate at a level characteristic of polytetrafluoroethylene.
    Type: Grant
    Filed: November 30, 2000
    Date of Patent: June 3, 2003
    Assignee: The Regents of the University of California
    Inventors: Marko J. Hakovirta, Michael A. Nastasi, Deok-Hyung Lee, Xiao-Ming He
  • Patent number: 6572933
    Abstract: Process for forming adherent coatings using plasma processing. Plasma Immersion Ion Processing (PIIP) is a process where energetic (hundreds of eV to many tens of keV) metallic and metalloid ions derived from high-vapor-pressure organometallic compounds in a plasma environment are employed to deposit coatings on suitable substrates, which coatings are subsequently relieved of stress using inert ion bombardment, also in a plasma environment, producing thereby strongly adherent coatings having chosen composition, thickness and density. Four processes are utilized: sputter-cleaning, ion implantation, material deposition, and coating stress relief. Targets are placed directly in a plasma and pulse biased to generate a non-line-of-sight deposition without the need for complex fixturing. If the bias is a relatively high negative potential (20 kV-100 kV) ion implantation will result.
    Type: Grant
    Filed: September 24, 1998
    Date of Patent: June 3, 2003
    Assignee: The Regents of the University of California
    Inventors: Michael A. Nastasi, Kevin C. Walter, Donald J. Rej
  • Patent number: 6572936
    Abstract: A hard carbon film-coated substrate is disclosed which has between a substrate and a hard carbon film an interlayer principally comprised of at least one selected from the group consisting of Al, Cr, Sn, Co and B, oxides, nitrides and carbides thereof.
    Type: Grant
    Filed: November 3, 1999
    Date of Patent: June 3, 2003
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Yoichi Domoto, Hitoshi Hirano, Keiichi Kuramoto, Seiichi Kiyama
  • Patent number: 6565719
    Abstract: A method for making a magnetic disk comprises forming first and second protective carbon layers on a magnetic layer. The first protective carbon layer is predominantly SP3 carbon. The second protective carbon layer comprises about 50% or less SP3 carbon. The second protective carbon layer is very thin, e.g. between 0.1 and 1.0 nm thick. A lubricant layer (e.g. a perfluoropolyether lubricant) is applied to the second protective carbon layer. The second protective carbon layer facilitates improved cooperation between lubricant and the disk.
    Type: Grant
    Filed: June 27, 2000
    Date of Patent: May 20, 2003
    Assignee: Komag, Inc.
    Inventors: Bruce Lairson, Ching Jackie Tsoi, Wen Liu, Shigeto Hashimoto, Eric Woo Hock Yong, Tsutomu Tom Yamashita
  • Patent number: 6565913
    Abstract: An adherent antimicrobial coating and method of making same comprising hydrogenated amorphous carbon and a dispersion of antimicrobial metal ions adapted to maintain a therapeutically effective zone of inhibition.
    Type: Grant
    Filed: July 24, 2001
    Date of Patent: May 20, 2003
    Assignee: Southwest Research Institute
    Inventors: James Arps, Geoffrey Dearnaley
  • Patent number: 6558756
    Abstract: A material containing, as a main component, an organic silicon compound represented by the following general formula: R1xSi(OR2)4-x (where R1 is a phenyl group or a vinyl group; R2 is an alkyl group; and x is an integer of 1 to 3) is caused to undergo plasma polymerization or react with an oxidizing agent to form an interlayer insulating film composed of a silicon oxide film containing an organic component. As the organic silicon compound where R1 is a phenyl group, there can be listed phenyltrimethoxysilane or diphenyldimethoxysilane. As the organic silicon compound where R1 is a vinyl group, there can be listed vinyltrimethoxysilane or divinyldimethoxysilane.
    Type: Grant
    Filed: July 9, 2001
    Date of Patent: May 6, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Gaku Sugahara, Nobuo Aoi, Koji Arai, Kazuyuki Sawada
  • Publication number: 20030068582
    Abstract: A first film is formed on a semiconductor substrate, the first film being made of material having a different etching resistance from silicon carbide. A second film of hydrogenated silicon carbide is formed on the first film. A resist film with an opening is formed on the second film. By using the resist mask as an etching mask, the second film is dry-etched by using mixture gas of fluorocarbon gas added with at least one of SF6 and NF3. The first film is etched by using the second film as a mask. A semiconductor device manufacture method is provided which utilizes a process capable of easily removing an etching stopper film or hard mask made of SiC.
    Type: Application
    Filed: January 30, 2002
    Publication date: April 10, 2003
    Applicant: Fujitsu Limited
    Inventors: Daisuke Komada, Katsumi Kakamu
  • Patent number: 6537429
    Abstract: A corrosion resistant component of semiconductor processing equipment such as a plasma chamber includes a diamond containing surface and process for manufacture thereof.
    Type: Grant
    Filed: December 29, 2000
    Date of Patent: March 25, 2003
    Assignee: Lam Research Corporation
    Inventors: Robert J. O'Donnell, John E. Daugherty, Christopher C. Chang
  • Patent number: 6537733
    Abstract: A method of forming a silicon carbide layer for use in integrated circuits is provided. The silicon carbide layer is formed by reacting a gas mixture comprising a silicon source, a carbon source, and a nitrogen source in the presence of an electric field. The as-deposited silicon carbide layer incorporates nitrogen therein from the nitrogen source.
    Type: Grant
    Filed: February 23, 2001
    Date of Patent: March 25, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Francimar Campana, Srinivas Nemani, Michael Chapin, Shankar Venkataraman
  • Patent number: 6534131
    Abstract: A method for treating a carbon film comprising, in sequence, depositing a carbon film onto a substrate by a plasma CVD technique and exposing the carbon film at its surface to a gas plasma produced utilizing at least one type of gas selected from an Ar gas, N2 gas, H2 gas and F-containing gas so that the carbon film surface is modified.
    Type: Grant
    Filed: February 14, 2001
    Date of Patent: March 18, 2003
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Yoichi Domoto, Hiromasa Yagi
  • Patent number: 6526909
    Abstract: A device for increasing the incident energy of an ion for coating a disc in an ion beam deposition process. The ion beam deposition process is performed in a chamber with the disc to be coated disposed therein. An ion source, having a voltage level, is introduced into the chamber for generating an ion beam for depositing ions on the disc. A bias contact is coupled to the disc and a power supply is coupled to the bias contact. The power supply applies a voltage level to the bias contact that is less than the voltage level of the ion source thereby creating a negative bias voltage between the disc and the ion source. This negative bias voltage causes the incident energy of the ion to increase. As a result, the optimal incident energy can be achieved using a lower original energy.
    Type: Grant
    Filed: March 22, 2000
    Date of Patent: March 4, 2003
    Assignee: Seagate Technology, LLC
    Inventors: Kevin John Grannen, Jeffrey Arthur McCann, Xiaoding Ma, Jing Gui, Mark Anthony Shows
  • Patent number: 6521300
    Abstract: A method of coating an organic polymeric low-k dielectric layer starts by depositing a protective layer composed of silicon nitride (SiN) or silicon carbide (SiC) on a substrate. A hydrophilic surface is produced across a top surface of the protective layer by performing a fast surface treatment that subjects the surface to an oxygen-containing plasma at a pre-selected low radio frequency power. An adhesion promoter coating layer is formed over the top surface of the protective layer. The coating layer has promoter molecules, each promoter molecule having at least one hydrophobic group and one hydrophilic group. The low-k dielectric layer is spin-on coated onto the coating layer. Formation of the hydrophilic surface alters an orientation of the adhesion promoter molecules to facilitate the hydrophilic group of each of the adhesion promoter molecules facing the hydrophilic surface while the hydrophobic group of the adhesion promoter molecules faces the low-k dielectric layer.
    Type: Grant
    Filed: August 16, 2001
    Date of Patent: February 18, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Tsung-Tang Hsieh, Cheng-Yuan Tsai, Hsin-Chang Wu, Chih-An Huang
  • Patent number: 6511700
    Abstract: A process for making CVD diamond burrs, mills and files of odontological and relates uses, for boring, abrading and machining teeth, glass, ceramics, metals, etc. A CVD diamond deposition method and gas mixture deposits a CVD diamond as a thin or thick film directly on the tool stick material, or as a freestanding film on a substrate material for later joining to a tool stick.
    Type: Grant
    Filed: May 5, 2000
    Date of Patent: January 28, 2003
    Assignee: Instituto Nacional de Pesquisas Espacials
    Inventors: Vladimir Jesus Trava Airoldi, Evaldo José Corat, Nélia Ferriera Leite, Edson Del Bosco, Vitor Baranauskas, Marcia Carneiro Valera, Angel Fidel Vilche Peña
  • Patent number: 6509095
    Abstract: Multi-layer fullerenes with a novel structure which are expected to be applied to various fields, and a method of manufacturing the multi-layer fullerenes. The method comprises heating carbon-containing substances at a temperature of 2300° C. or higher in the presence of a C60 fullerene to obtain novel multi-layer fullerenes which include a first multi-layer fullerene having a C60 fullerene enclosed in a C240 fullerene (C60@C240), a second multi-layer fullerene having a C240 fullerene enclosed in a C560 fullerene (C240@C560), and a third multi-layer fullerene having a C80 fullerene enclosed in a C240 fullerene which is enclosed in a C560 fullerene (C80@C240@C560).
    Type: Grant
    Filed: October 12, 2000
    Date of Patent: January 21, 2003
    Assignee: International Center for Materials Research
    Inventors: Alexander G. Umnov, Vladimir Z. Mordkovich, Takeshi Inoshita
  • Patent number: 6508416
    Abstract: An electromagnetic fuel injector having improved wear characteristics comprises a body having a fuel inlet and a fuel outlet. A valve seat is sealably connected to the body, and a moveable valve member positioned at the fuel outlet for controlling the flow of fuel from the outlet comprises a valve outlet element that provides a sealing interface with the valve seat. The valve member and included valve outlet element further comprise wear surfaces that are subject to repeated impact and/or sliding contact; at least a portion of these wear surfaces comprise an applied layer of diamond-like carbon (DLC) stabilized by inclusion of greater than 30 weight percent of a carbide-forming material selected from the group consisting of silicon, titanium, and tungsten.
    Type: Grant
    Filed: April 28, 2000
    Date of Patent: January 21, 2003
    Assignee: Delphi Technologies, Inc.
    Inventors: Noreen Louise Mastro, Jeffrey Mark Noll, David Wesley Rogers, Robert Halsall, Stephen Joel Harris, Anita Miriam Weiner
  • Patent number: 6503579
    Abstract: The invention provides a plasma CVD method and device which can form a uniform or substantially uniform film on an outer surface of an object independently of the shape of the object, and also provides an electrode used in the method and device. More specifically, a plasma is formed from a deposition material gas by supplying an electric power to the gas, and a film is formed on the outer surface of a hollow object having an opening under the plasma. The electrodes for supplying the electric power for forming the gas plasma include an internal electrode arranged in an inner space of the hollow object and an external electrode arranged outside the object. The internal electrode can selectively have a reduced form allowing passage of the electrode through the opening of the hollow object and an enlarged form predetermined in accordance with the volume and shape of the inner space of the object.
    Type: Grant
    Filed: November 2, 1999
    Date of Patent: January 7, 2003
    Assignee: Nissin Electric Co., Ltd.
    Inventors: Yasuo Murakami, Takahiro Nakahigashi
  • Patent number: 6500488
    Abstract: A method of forming a fluorine-bearing diamond layer on non-diamond substrates, especially on tool substrates comprising a metal matrix and hard particles, such as tungsten carbide particles, in the metal matrix. The substrate and a fluorine-bearing plasma or other gas are then contacted under temperature and pressure conditions effective to nucleate fluorine-bearing diamond on the substrate. A tool insert substrate is treated prior to the diamond nucleation and growth operation by etching both the metal matrix and the hard particles using suitable etchants.
    Type: Grant
    Filed: August 4, 1992
    Date of Patent: December 31, 2002
    Assignee: Northwestern Univ.
    Inventors: R. P. H. Chang, Kevin J. Grannen
  • Publication number: 20020176990
    Abstract: Refractory carbide particles are located in a defined area of a matrix, specifically silicon carbide particles are encapsulated within a porous matrix or carbon precursor, by locating particles of refractory carbide-forming material or a precursor thereof in a defined area of the matrix followed by depositing carbon within the matrix at a temperature below that of the melting point of the carbide-forming material.
    Type: Application
    Filed: May 23, 2002
    Publication date: November 28, 2002
    Applicant: Dunlop Aerospace Limited
    Inventor: David Callum Johnson
  • Patent number: 6482476
    Abstract: A low temperature, high growth rate plasma enhanced chemical vapor deposition process is demonstrated for ceramic coatings on metal, alloy and ceramic substrates. The deposition process is carried out at low temperatures (<350-degC.) to prevent the bulk substrate properties from being adversely affected. The substrates are treated with gas surface hardening processes before deposition. Bonding strength (adhesion) between the coating and the substrate is improved by combining surface heat treating processes with the coating processes. Hard ceramic-solid lubricant composite coatings are used for fuel injector components, hydraulic valve components, and other wear parts. Metal-releasing agents are used to improve deposition efficiency. Electron cyclotron resonance mechanism and electromagnetic radiation are used to improve bonding strength, growth rate, coating uniformity, film smoothness and other film qualities.
    Type: Grant
    Filed: December 16, 1999
    Date of Patent: November 19, 2002
    Inventor: Shengzhong Frank Liu
  • Patent number: 6479110
    Abstract: A low dielectric constant, multiphase material which can be used as an interconnect dielectric in IC chips is disclosed. Also disclosed is a method for fabricating a multiphase low dielectric constant film utilizing a plasma enhanced chemical vapor deposition technique. Electronic devices containing insulating layers of the multiphase low dielectric constant materials that are prepared by the method are further disclosed.
    Type: Grant
    Filed: September 27, 2001
    Date of Patent: November 12, 2002
    Assignee: International Business Machines Corporation
    Inventors: Alfred Grill, Vishnubhai Vitthalbhai Patel, Stephen McConnell Gates
  • Patent number: 6472076
    Abstract: There is provided an array of alkyl substituted silsesquioxane thin film precursors having a structure wherein alkyl groups are bonded to the silicon atoms of a silsesquioxane cage. The alkyl groups may be the same as, or different than the other alkyl groups. In a first aspect, the present invention provides a composition comprising a vaporized material having the formula [R—SiO1.5]x[H—SiO1.5]y, wherein x+y=n, n is an integer between 2 and 30, x is an integer between 1 and n and y is a whole number between 0 and n. R is a C1 to C100 alkyl group. Also provided are films made from these precursors and objects comprising these films.
    Type: Grant
    Filed: October 18, 1999
    Date of Patent: October 29, 2002
    Assignee: Honeywell International Inc.
    Inventor: Nigel P. Hacker
  • Patent number: 6472062
    Abstract: An improved non-sticking diamond-like nanocomposition includes networks of a-C:H and a-Si:O, wherein the H-concentration is between 85% and 125% of the C-concentration. The composition includes preferably 25 to 35 at % of C, 30 to 40 at % of H, 25 to 30 at % of Si, and 10 to 15 at % of O.
    Type: Grant
    Filed: December 20, 1999
    Date of Patent: October 29, 2002
    Assignee: N.V. Bekaert S.A.
    Inventors: Dominique Neerinck, Peter Persoone, Marc Sercu
  • Patent number: 6468603
    Abstract: This invention is a method of: making a film-forming gas including a compound gas of carbon and fluorine into plasma in a vacuum container 2 including a stage 4 for an object to be processed 10; and applying a bias electric power to the stage 4 in order to draw ions in the plasma toward the object 10 while forming an insulation film consisting of a film of fluorine-added carbon onto the object 10 by the plasma. At first, a first electric power of the bias electric power is applied to the stage 4 and the compound gas of carbon and fluorine is introduced at a first flow rate to form the film of fluorine-added carbon onto the object 10. Then, a second electric power of the bias electric power smaller than the first electric power is applied to the stage 4 and the compound gas of carbon and fluorine is introduced at a second flow rate smaller than the first flow rate to form the film of fluorine-added carbon onto the object 10.
    Type: Grant
    Filed: September 18, 2000
    Date of Patent: October 22, 2002
    Assignee: Tokyo Electron Limited
    Inventors: Shunichi Endo, Tadashi Hirata
  • Patent number: 6468602
    Abstract: The present invention provides a method for manufacturing a magnetic recording medium comprising the steps of forming a carbon protective film onto a disc, the non-magnetic substrate of which is layered with a non-magnetic base film and magnetic film, using a reactant gas containing carbon atoms as a starting material, according to a plasma CVD method, wherein a mixed gas of hydrocarbon and hydrogen, in which the mixing ratio of hydrocarbon to hydrogen is in the range of 2 to 1˜1 to 100 by volume, is used as a reactant gas, during bias applying to said disc. In addition, the present invention provides a magnetic recording medium comprising a carbon protective film formed onto a disc, the non-magnetic substrate of which is layered with a non-magnetic base film and magnetic film, wherein said carbon protective film is formed according to a plasma CVD method, while applying bias.
    Type: Grant
    Filed: September 4, 2001
    Date of Patent: October 22, 2002
    Assignee: Showa Denko K.K.
    Inventors: Ryuji Sakaguchi, Hiroshi Sakai, Mikio Suzuki, Kazunori Ohnami
  • Patent number: 6465057
    Abstract: The present invention provides a plasma CVD method for forming a plasma from a deposition material gas by application of an electric power, and thereby forming a film on a deposition target object in the plasma, wherein the formation of the plasma from the material gas is performed by applying an RF power and a DC power, and the DC power is applied to an electrode carrying the deposition target object. The present invention also provides a plasma CVD apparatus for forming a plasma from a deposition material gas by applying an electric power from the power applying means, and thereby forming a film on a deposition target object by exposing the deposition target object to the plasma, wherein the power applying means includes RF power applying means and DC power applying means, and the DC power applying means applies an electric power to the electrode carrying the deposition target object.
    Type: Grant
    Filed: July 10, 1997
    Date of Patent: October 15, 2002
    Assignee: Nissin Electric Co., Ltd.
    Inventors: Takahiro Nakahigashi, Akira Doi, Yoshihiro Izumi, Hajime Kuwahara
  • Patent number: 6460243
    Abstract: A method of making rhodium (Rh) lead layers for a read sensor comprises a first step of obliquely ion beam sputtering the rhodium (Rh) lead layer followed by a second step of annealing. This method results in rhodium (Rh) lead layers which have reduced stress and less resistance, making them highly desirable for lead layers of a sensor in a read head.
    Type: Grant
    Filed: November 22, 1999
    Date of Patent: October 8, 2002
    Assignee: International Business Machines Corporation
    Inventor: Mustafa Pinarbasi
  • Patent number: 6451389
    Abstract: Systems to achieve both more uniform and particle free DLC deposition is disclosed which automatically cycles between modes to effect automatic removal of carbon-based buildups or which provides barriers to achieve proper gas flow involves differing circuitry and design parameter options. One ion source may be used in two different modes whether for DLC deposition or not through automatic control of gas flow types and rates and through the control of the power applied to achieve maximum throughput or other desired processing goals. Arcing can be controlled and even permitted to optimize the overall results achieved.
    Type: Grant
    Filed: April 17, 2000
    Date of Patent: September 17, 2002
    Assignee: Advanced Energy Industries, Inc.
    Inventors: Michael S. Amann, Michael Kishinevsky, Andrew Shabalin, Colin Quinn
  • Patent number: 6447852
    Abstract: The present invention relates to a method for manufacturing a diamond composite from diamond particles, comprising the steps of forming a work piece, heating the work piece and controlling the heating temperature and heating time so that a certain desired amount of graphite is created by graphitization of diamond particles, thereby creating an intermediate body, and infiltrating silicon or silicon alloy into the intermediate body. The invention also relates to a diamond composite produced by this method.
    Type: Grant
    Filed: March 4, 1999
    Date of Patent: September 10, 2002
    Assignee: Ambler Technologies, Inc.
    Inventors: Sergey Konstantinovitch Gordeev, Sergey Germanovitch Zhukov, Lija Vladimirovna Danchukova, Thommy Ekström
  • Patent number: 6447851
    Abstract: A method of producing diamond or diamond like films in which a negative bias is established on a substrate with an electrically conductive surface in a microwave plasma chemical vapor deposition system. The atmosphere that is subjected to microwave energy includes a source of carbon, nitrogen and hydrogen. The negative bias is maintained on the substrate through both the nucleation and growth phase of the film until the film is continuous. Biases between −100V and −200 are preferred. Carbon sources may be one or more of CH4, C2H2 other hydrocarbons and fullerenes.
    Type: Grant
    Filed: July 14, 1999
    Date of Patent: September 10, 2002
    Assignee: The University of Chicago
    Inventors: Dieter M. Gruen, Alan R. Krauss, Ming Q. Ding, Orlando Auciello
  • Patent number: 6444275
    Abstract: A thermal ink jet printhead contains, on a front face, a remote plasma deposited fluoropolymer film. The fluoropolymer film has a high fluorine to carbon ratio. The film also possesses excellent mechanical durability.
    Type: Grant
    Filed: October 31, 2000
    Date of Patent: September 3, 2002
    Assignee: Xerox Corporation
    Inventors: Daniel E. Kuhman, Christopher Constantine, Kevin N. Beatty
  • Patent number: 6432537
    Abstract: A process for improving the mechanical properties, particularly the flexural rigidity, of aramid fibers by directly depositing a diamond-like-carbon coating onto the surface of the fibers is disclosed. Diamond-like-carbon (DLC) coated aramid fibers having increased flexural rigidity are also disclosed. DLC coated aramid fibers show an increase in flexural rigidity and suppression of low yielding behavior when compared to uncoated aramid fibers.
    Type: Grant
    Filed: May 29, 1998
    Date of Patent: August 13, 2002
    Assignees: E.I. du Pont de Nemours and Company, The Reagents of the University of California
    Inventors: David James Devlin, Don Mayo Coates, Thomas Arthur Archuleta
  • Patent number: 6423384
    Abstract: The present invention generally provides a method for depositing a low dielectric constant amorphous carbon film on a substrate or other workpiece using high density plasma chemical vapor deposition (HDP-CVD) techniques. Specifically, the present invention provides a method for forming an amorphous carbon film having a low dielectric constant of less than about 3.0 and a high thermal stability at a temperature of at least about 400° C. In a preferred embodiment, the film is deposited using methane (CH4) and argon in a HDP-CVD reactor. The amorphous carbon film formed according to the invention is useful for many applications in ultra large scale integration (ULSI) structures and devices, such as for example, an inter-metal dielectric material and an anti-reflective coating useful for patterning sub-micron interconnect features.
    Type: Grant
    Filed: June 25, 1999
    Date of Patent: July 23, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Kasra Khazeni, Eugene Tzou, Zhengquan Tan
  • Patent number: 6423383
    Abstract: A plasma processing apparatus and method is equipped with a reaction chamber, a microwave generator for generating a microwave within the reaction chamber, and main and auxiliary magnets for producing a magnetic filed parallel with microwave propagation direction. The auxiliary magnet is located along the wall of the reaction chamber so as to strengthen the magnetic filed at the periphery of the reaction chamber. A reactive gas containing a carbon compound gas is introduced into the chamber wherein the reactive gas is converted into a plasma by a resonance using the microwaves and the magnetic field. The presence of the auxiliary magnet produces a centrifugal drifting force within the reaction chamber, thereby confining the plasma gas to the center of the chamber. A substrate is then placed within the chamber and a film comprising amorphous carbon is deposited thereon.
    Type: Grant
    Filed: November 20, 1998
    Date of Patent: July 23, 2002
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Naoki Hirose, Takashi Inujima, Toru Takayama
  • Patent number: 6419993
    Abstract: A production process for a magnetic recording medium comprising a non-magnetic substrate, a non-magnetic undercoat film, a magnetic film, and a protective film predominantly comprising carbon, which protective film is formed through a plasma CVD method by use of carbon-containing gas as a source and which process comprises applying an oxygen plasma to carbon deposits on the inner walls of a chamber or carbon present in the chamber for transformation.
    Type: Grant
    Filed: March 30, 2000
    Date of Patent: July 16, 2002
    Assignee: Showa Denko K.K.
    Inventors: Kimie Takagi, Mikio Suzuki, Emi Shimaoka, Noriyuki Miyamoto
  • Patent number: 6419985
    Abstract: A method for producing an insulator film for use as an interlayer dielectric film in a semiconductor device having a multi-level interconnection structure is disclosed. An inert plasma producing gas, such as argon, is introduced into a vacuum vessel along with a thin film deposition gas, such as a compound gas containing fluorine and carbon. Activation of the plasma producing gas activates the thin film producing gas, resulting in a thin fluorine containing carbon insulator film on the semiconductor device. In order to thermally stabilize the insulator layer, the semiconductor device is annealed.
    Type: Grant
    Filed: May 26, 2000
    Date of Patent: July 16, 2002
    Assignee: Tokyo Electron Ltd.
    Inventor: Shuichi Ishizuka
  • Patent number: 6419997
    Abstract: A hard carbon film (15) is formed directly or through an intermediate layer for enhancing adhesion over an inner surface (11b) of a guide bush (11) for holding a workpiece (51) rotatably and axially slidable on an automatic lathe at a position near a cutting tool, to be in sliding contact with the workpiece (51) or directly or through an intermediate layer for enhancing adhesion on the surface of a superhard lining fixed to the inner surface (11b). The guide bush (11) has remarkably enhanced durability and prevents damaging the workpiece (51) and seizing that makes a machining operation impossible even when the guide bush (11) is used for an extended period of time and when the automatic lathe operates for heavy machining.
    Type: Grant
    Filed: February 28, 2000
    Date of Patent: July 16, 2002
    Assignee: Citizen Watch Co., Ltd.
    Inventors: Yukio Miya, Osamu Sugiyama, Ryota Koike, Takashi Toida, Sosaku Kimura, Kunihiko Kokubo
  • Patent number: 6410125
    Abstract: The invention relates to a wear-resistant, highly stressed and low-friction boundary coating construction for titanium or the alloys thereof which can be advantageously used in order to protect human implants. According to the inventive boundary coating construction the boundary coating is comprised of a 200 to 400 nm thick DLC coating (4), a 5 to 50 nm thick intermediate coating (3) and a 0.3 to 2.0 mm thick gas alloyed coating (2), said gas alloyed coating having a hardness between 600 HV0.1 and 1,400 HV0.1. The inventive boundary coating construction is produced by firstly melting the surface of the member which is to be protected. The surface is then gas alloyed and cleaned in an N2/Ar atmosphere. Subsequently, the intermediate coating is first deposited followed by a depositing of the hard amorphous carbon coating by means of the laser-induced, pulsed vacuum arc (laser-arc) method.
    Type: Grant
    Filed: July 24, 2000
    Date of Patent: June 25, 2002
    Assignee: Fraunhofer-Gesellschaft zur Forderung der Angewandten Forschung e.v.
    Inventors: Berndt Brenner, Steffen Bonss, Hans-Joachim Scheibe, Holger Ziegele
  • Patent number: 6410101
    Abstract: A method for scrubbing and passivating an anode plate (100) of a field emission display (120) includes the steps of providing a scrubbing passivation material (127); imparting to scrubbing passivation material (127) an energy selected to cause removal of a contamination layer (123, 117) from anode plate (100); causing scrubbing passivation material (127) to be received by contamination layer (123, 117), thereby removing contamination layer (123, 117); and depositing at least a portion of scrubbing passivation material (127) on anode plate (100), thereby forming a passivation layer (129).
    Type: Grant
    Filed: February 16, 2000
    Date of Patent: June 25, 2002
    Assignee: Motorola, Inc.
    Inventors: James E. Jaskie, Albert Alec Talin
  • Patent number: 6406760
    Abstract: A method is disclosed for depositing diamond film on a plurality of substrates, which comprises the steps of: providing a plasma beam containing atomic hydrogen and a carbonaceous component; providing a plurality of substrates, each of the substrates having a deposited surface, the substrates being arranged such that the beam impinges successively on a deposition surface of a first substrate and then on a deposition surface of a second substrate, the deposition surfaces of the first and second substrates being oriented with respect to each other at a non-zero angle.
    Type: Grant
    Filed: July 18, 2000
    Date of Patent: June 18, 2002
    Assignee: Celestech, Inc.
    Inventor: Cecil B. Shepard, Jr.
  • Patent number: 6403167
    Abstract: A method for surface modification of 3-dimensional bulk polymers is provided to improve surface properties and surface conductivity of 3-dimensional bulk polymers by using plasma source ion implantation technique. The plasma source ion implantation technique is to modify the surface by implanting ions into the surface of the 3-dimensional samples uniformly. When negative high voltage pulse is applied to a metallic grid around the bulk polymer samples, ions are extracted from the plasma; most of ions passing the grid and collide with the surface of the bulk polymer samples in high energy. Therefore, through the method for applying high voltage pulse to the grid around samples, ions are implanted into the surface of the 3-dimensional bulk polymer samples uniformly, and thereby the ions implanted in high energy modify the bulk polymer surface to improve the electrical conductivity effectively.
    Type: Grant
    Filed: November 1, 2000
    Date of Patent: June 11, 2002
    Assignee: Korea Institute of Science & Technology
    Inventors: Yeon Hee Lee, Seung Hee Han
  • Patent number: 6399151
    Abstract: A diamond film depositing apparatus and method are disclosed in which a uniform and large plasma is formed on a substrate having a diameter of larger than 100 mm without using a heated filament cathode, without applying a magnetic field thereto, and without using a ballast resistance. The thusly formed plasma is maintained stably for a long time, so that a diamond thick film having a diameter of larger than 4 inches and a thickness of over hundreds of &mgr;m can be deposited on a flat or curved substrate and also on a Si wafer.
    Type: Grant
    Filed: October 27, 1999
    Date of Patent: June 4, 2002
    Assignee: Korea Institute of Science and Technology
    Inventors: Wook-Seong Lee, Young Joon Baik, Kwang Yong Eun
  • Patent number: 6392244
    Abstract: Stable operation of an ion beam deposition (IBD) station forming part of a multi-station apparatus and formation therein of a tribologically robust DLC-type i-C:H ultra-thin protective overcoat for high recording density magnetic media are achieved by pulsing (i.e., limiting) the flow of a hydrocarbon source gas to the ion beam source to deposition intervals between substrate transfer/pressure cycling. Embodiments include utilizing a circularly-shaped, closed drift, end Hall type ion beam source as part of a multi-process station apparatus, wherein undesirable arcing of the ion beam source during substrate transfer is eliminated, or at least substantially reduced, as a result of the pulsed supply of hydrocarbon source gas to the ion beam source.
    Type: Grant
    Filed: August 18, 1999
    Date of Patent: May 21, 2002
    Assignee: Seagate Technology LLC
    Inventors: Kevin J. Grannen, Xiaoding Ma, Jing Gui
  • Patent number: 6387443
    Abstract: The invention describes composite coatings, in particular comprising carbon and another metallic element such as silicon or aluminium. These coatings have improved properties compared with pure tetrahedral amorphous carbon coatings, in that they have reduced stress levels and can be deposited at higher thicknesses, whilst retaining acceptable hardness and other useful mechanical properties. Also described are methods of making composite coatings, materials for making the coatings and substrates coated therewith. Specifically, a method of applying a coating to a substrate using a cathode arc source, comprises generating an arc between a cathode target and an anode of the source and depositing positive target ions on the substrate to form the coating, wherein the coating is a composite of at least first and second elements and the target comprises said at least first and second elements.
    Type: Grant
    Filed: October 3, 2000
    Date of Patent: May 14, 2002
    Assignee: Nanyang Technological University
    Inventors: Xu Shi, Hong Siang Tan, Beng Kang Tay
  • Publication number: 20020048638
    Abstract: A method of producing diamond or diamond like films in which a negative bias is established on a substrate with an electrically conductive surface in a microwave plasma chemical vapor deposition system. The atmosphere that is subjected to microwave energy includes a source of carbon, nitrogen and hydrogen. The negative bias is maintained on the substrate through both the nucleation and growth phase of the film until the film is continuous. Biases between −100V and −200 are preferred. Carbon sources may be one or more of CH4, C2H2 other hydrocarbons and fullerenes.
    Type: Application
    Filed: July 14, 1999
    Publication date: April 25, 2002
    Inventors: DIETER M. GRUEN, ALAN R. KRAUSS, M. Q. DING, ORLANDO AUCIELLO
  • Patent number: 6372304
    Abstract: A high quality transparent SiC thin film can be deposited on the surface of a plastic material at low temperature utilizing Electron Cyclotron Resonance (ECR) Plasma CVD techniques, thereby enhancing surfacial hardness without spoiling designability. A magnetic field is applied to a plasma generating chamber by means of a surrounding magnetic coil. Microwaves are then introduced into the plasma generating chamber. Further, an upstream gas is introduced into the plasma generating chamber. ECR plasma is thus generated. A downstream gas is then supplied to the chamber from an inlet. Furthermore, the ECR plasma is passed through a mesh placed between the inlet and a polymer base material or between the plasma generating chamber and the inlet. Accordingly, a SiC film is deposited on a surface of a polymer base material.
    Type: Grant
    Filed: July 7, 1997
    Date of Patent: April 16, 2002
    Assignee: Suzuki Motor Corporation
    Inventors: Keiichiro Sano, Masaya Nomura, Hiroaki Tamamaki, Yoshinori Hatanaka
  • Patent number: 6372303
    Abstract: A method is proposed for vacuum-coating a substrate using a plasma-CVD method. In order to control ion bombardment during the vacuum coating, a substrate voltage produced independently from a coating plasma is applied to the substrate. The substrate voltage is modified during the coating. The substrate voltage is a direct voltage that is pulsed in bipolar fashion with a frequency of 0.1 kHz to 10 MHz. A wear-resistant and friction-reducing multilayer structure of alternating hard material individual layers and carbon or silicon individual layers is proposed.
    Type: Grant
    Filed: April 5, 2000
    Date of Patent: April 16, 2002
    Assignee: Robert Bosch GmbH
    Inventors: Kurt Burger, Thomas Weber, Johannes Voigt, Susanne Lucas
  • Patent number: 6368678
    Abstract: A substrate processing system includes a processing chamber, an electrically floating substrate holder positioned in the chamber, a gas source for supplying a process gas to the chamber, at least one ion source located in the chamber, and a power source for energizing the ion source by positively biasing the anode and negatively biasing the cathode in a train of pulses of selectably variable duty cycle and magnitude to maintain a selected time averaged current, the bias in each instance being relative to the chamber. The ion source ionizes the process gas producing ions for processing a substrate disposed on the floating substrate holder in the chamber. The floating substrate is biased in accord with the net charge thereon as controlled by the energetic electron flux. One embodiment includes two such ion sources.
    Type: Grant
    Filed: February 1, 2000
    Date of Patent: April 9, 2002
    Inventors: Terry Bluck, James H. Rogers