Inorganic Carbon Containing Coating Material, Not As Steel (e.g., Carbide, Etc.) Patents (Class 427/577)
  • Patent number: 7452420
    Abstract: An apparatus for producing diamond in a deposition chamber including a heat-sinking holder for holding a diamond and for making thermal contact with a side surface of the diamond adjacent to an edge of a growth surface of the diamond, a noncontact temperature measurement device positioned to measure temperature of the diamond across the growth surface of the diamond and a main process controller for receiving a temperature measurement from the noncontact temperature measurement device and controlling temperature of the growth surface such that all temperature gradients across the growth surface are less than 20° C.
    Type: Grant
    Filed: April 23, 2007
    Date of Patent: November 18, 2008
    Assignees: Carnegie Institution of Washington, The UAB Research Foundation
    Inventors: Russell J. Hemley, Ho-kwang Mao, Chih-shiue Yan, Yogesh K. Vohra
  • Publication number: 20080280067
    Abstract: A method of forming a carbon film on a metal substrate at a low temperature has steps of preparing a metal substrate having a softening temperature; forming a catalytic layer having a thickness of greater than 0.01 ?m on the metal substrate, and forming a carbon film on the catalytic layer by chemical vapor deposition (CVD) at a reaction temperature less than the softening temperature of the metal substrate. A carbonaceous material is carried into a CVD reaction area by a carrier gas and is thermally decomposed at a reaction temperature between 300° C. and 1000° C. to form the carbon film having a thickness between 0.1 ?m and 10 ?m on the catalytic layer.
    Type: Application
    Filed: May 10, 2007
    Publication date: November 13, 2008
    Applicant: FENG CHIA UNIVERSITY
    Inventors: Shi-Kun Chen, Tse-Hao Ko, Chih-Yeh Chung, Po-Jen Chu, Cheng-Hao Huang
  • Publication number: 20080280135
    Abstract: Disclosed are DC plasma assisted chemical vapor deposition (DC PA-CVD) apparatus operable in the absence of a positive column, a method for depositing a material by DC PA-CVD in the absence of a positive column, and a diamond thin film fabricated thereby. In the method for depositing a material in the absence of a positive column, a discharge is generated between a cathode and an anode disposed to face each other in a reaction chamber by applying a DC voltage therebetween, and introducing reaction gas into the reaction chamber, thereby depositing a material on a substrate mounted on the anode and serving as a part of the anode, wherein the deposition of the material on the substrate is performed under a state that a cathode glow and an anode glow exist in a form of thin layers coating respectively the surfaces of the cathode and the substrate, while a positive column does not exist or is so small as to be negligible.
    Type: Application
    Filed: August 3, 2007
    Publication date: November 13, 2008
    Inventors: Wook-Seong Lee, Young-Joon Baik, Jeung-Hyun Jeong, Ki-Woong Chae
  • Patent number: 7449221
    Abstract: A method of manufacturing a metallic thin film type magnetic recording medium is provided. The method comprises the steps of arranging an initial substance of said recording medium in opposition to a plasma discharge electrode, said initial substance comprising a non-magnetic support base, a metallic layer capable of functioning as a metallic electrode formed on said non-magnetic support base and a metallic magnetic layer formed on said metallic layer, and forming a protection film on a surface of said initial substance of said recording medium by way of generating plasma discharge while feeding raw material gas between said metallic layer/said metallic magnetic layer and said plasma discharge electrode.
    Type: Grant
    Filed: June 29, 2006
    Date of Patent: November 11, 2008
    Assignee: Sony Corporation
    Inventors: Ryoichi Hiratsuka, Ichiro Kanagawa, Kasumi Suzuki, Tomoe Ozaki
  • Publication number: 20080272299
    Abstract: A mechanically stable and oriented scanning probe tip comprising a carbon nanotube having a base with gradually decreasing diameter, with a sharp tip at the probe tip. Such a tip or an array of tips is produced by depositing a catalyst metal film on a substrate (10 & 12 in FIG. 1(a)), depositing a carbon dot (14 in FIG. 1(b)) on the catalyst metal film, etching away the catalyst metal film (FIG. 1(c)) not masked by the carbon dot, removing the carbon dot from the catalyst metal film to expose the catalyst metal film (FIG. 1(d)), and growing a carbon nanotube probe tip on the catalyst film (16 in FIG. 1(e)). The carbon probe tips can be straight, angled, or sharply bent and have various technical applications.
    Type: Application
    Filed: October 10, 2006
    Publication date: November 6, 2008
    Inventors: Sungho Jin, Li-Han Chen, I-Chen Chen
  • Publication number: 20080260478
    Abstract: A PVD coating is disclosed, and in particular a nanoscale multilayer superlattice PVD coating comprising high hardness, a low friction coefficient and increased chemical inertness. The multilayer coating comprises a repeating bilayer represented by (VxMe(i-x))CyN(i-y)/(MezV(1-z))CyN(i-y) where 0.1?x?0.9; 0.01<y<0.99 and 0.1?z?0.9 and Me is a substantially pure metal or a metal alloy. The composition of the coating through the layers alternates from layer to layer according to a V-rich layer and a Me-rich layer modulated sequence. Vanadium is incorporated within the layer composition and has been found to act as a lubricating agent during sliding wear. Carbon, also incorporated within the coating, serves to further stabilise the friction coefficient thereby increasing the chemical inertness between cutting tool and workpiece material.
    Type: Application
    Filed: April 25, 2006
    Publication date: October 23, 2008
    Inventors: Papken Hovsepian, Arutiun P. Ehiasarian
  • Publication number: 20080260968
    Abstract: A method of forming an amorphous carbon layer using a cross type hydrocarbon compound as a precursor and a method of forming a low-k dielectric layer using the same are disclosed. The present invention includes a step (a) of vaporizing a precursor containing a cross type hydrocarbon compound, a step (b) of supplying the vaporized precursor and a additive gas into a reaction chamber via a shower head, wherein the precursor and the additive gas are changed into plasma state, and a step (c) of depositing the amorphous carbon layer for the hard mask or the low-k dielectric in the reaction chamber.
    Type: Application
    Filed: October 2, 2007
    Publication date: October 23, 2008
    Applicant: ATTO CO., LTD.
    Inventors: Kyung Soo KIM, Geun Hag BAE, Ho Sik KIM
  • Patent number: 7435454
    Abstract: A method for depositing a film on a substrate using a plasma enhanced atomic layer deposition (PEALD) process includes disposing the substrate in a process chamber configured to facilitate the PEALD process, introducing a first process material within the process chamber and introducing a second process material within the process chamber. Electromagnetic power is coupled to the process chamber during introduction of the second process material in order to generate a plasma that facilitates a reduction reaction between the first and second process materials at a surface of the substrate, electromagnetic power is coupled to a gas injection electrode to generate a plasma that ionizes contaminants such that the ionized contaminants are attracted to a plurality of orifices in the gas injection electrode. The process chamber is vacuum pumped through the plurality of orifices to expel the ionized contaminants from the process chamber.
    Type: Grant
    Filed: March 21, 2005
    Date of Patent: October 14, 2008
    Assignee: Tokyo Electron Limited
    Inventor: Jozef Brcka
  • Patent number: 7422776
    Abstract: Low K dielectric films exhibiting low mechanical stress may be formed utilizing various techniques in accordance with the present invention. In one embodiment, carbon-containing silicon oxide films are formed by plasma-assisted chemical vapor deposition at low temperatures (300° C. or less). In accordance with another embodiment, as-deposited carbon containing silicon oxide films incorporate a porogen whose subsequent liberation reduces film stress.
    Type: Grant
    Filed: June 10, 2005
    Date of Patent: September 9, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Kang Sub Yim, Lihua Li Huang, Francimar Schmitt, Li-Qun Xia
  • Publication number: 20080202918
    Abstract: A phase plate (10) for phase-contrast electron microscopes is characterized by comprising a conductive core phase plate (14) which has a phase plate body (11) and a phase plate support (12) supporting it and is arranged in the path of electrons having passed through the objective lens of an electron microscope and in which the phase plate body (11) is so supported on the phase plate support (12) having an opening (13) as to cover at least a part of the opening (13) and a conductive shield thin film (15) covering the periphery of the core phase plate (14) including the upper and lower sides thereof. Consequently, a phase plane for phase-contrast electron microscopes preventing the lens effect incident to charging completely and applicable to the field of material science, its manufacturing method and a phase-contrast electron microscope can be provided.
    Type: Application
    Filed: December 2, 2005
    Publication date: August 28, 2008
    Inventors: Kuniaki Nagayama, Radostin Danev
  • Publication number: 20080188383
    Abstract: An amorphous carbon film includes carbon as a major component, and silicon in an amount of from 0.1 atomic % or more to 10 atomic % or less when the entire amorphous carbon film is taken as 100 atomic %. The carbon is composed of carbon having an sp2 hybrid orbital in an amount of from 60 atomic % or more to 90 atomic % or less when the entire carbon amount is taken as 100 atomic %. Also disclosed is a process for producing the amorphous carbon film.
    Type: Application
    Filed: November 24, 2005
    Publication date: August 7, 2008
    Applicant: Kabushiki Kaisha Toyota Chuo Kenkyusho
    Inventors: Takashi Iseki, Hideo Tachikawa, Hiroyuki Mori, Kazuyuki Nakanishi, Munehisa Matsui, Shintaro Igarashi, Fumio Shimizu, Yoshinari Tsuchiya, Tadashi Oshima
  • Publication number: 20080171154
    Abstract: A method of treatment and processing of tools for machining of workpieces by cutting is described, in particular milling tools. These tools are made up of a high-strength steel, carbide or ceramic, and are provided with at least one cutting flank and a flute, which cutting flank is provided with a cutting edge on the cutting side. In a coating installation, the tool is provided with a first coating which is wear-resistant against abrasion. Afterwards a bevel is ground on each of the cutting edges. A second coating, which is resistant to crater wear, is applied to the ground bevel in a coating installation. Tools are thereby obtained that are characterized by high abrasion resistance and high resistance to wear and tear, in particular during machining of difficult materials at high cutting speed.
    Type: Application
    Filed: December 21, 2007
    Publication date: July 17, 2008
    Inventors: Josef Maushart, Tiziano Sichi, Johan Rechberger
  • Publication number: 20080157632
    Abstract: A thickness shear mode (TSM) resonator is described, comprising a diamond layer. The diamond layer is preferably a high quality diamond layer with at least 90% sp3 bonding or diamond bonding. A method for manufacturing such a resonator is also described. The thickness shear mode resonator according to embodiments described herein may advantageously be used in biosensor application and in electrochemistry applications.
    Type: Application
    Filed: November 21, 2007
    Publication date: July 3, 2008
    Applicants: INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM (IMEC), UNIVERSITY HASSELT
    Inventor: Oliver Williams
  • Publication number: 20080152839
    Abstract: An electronic device having an electrode made of metal that reacts easily with carbon is provided. In the electronic device, the electrode on which carbon nanotubes are deposited by a chemical vapor deposition method using a reactant gas containing carbon and oxygen, is made of a metal generating less reaction enthalpy when reacting with carbon than when reacting with oxygen. Since the electrode is made of a metal which reacts with carbon faster than oxygen, a carbonized metal layer is formed on the electrode, thereby preventing the electrode from being oxidized. Accordingly, the carbon nanotubes can be easily deposited on the electrode.
    Type: Application
    Filed: May 1, 2007
    Publication date: June 26, 2008
    Applicant: Samsung SDI Co., Ltd.
    Inventors: In-taek Han, Young-jun Park
  • Patent number: 7390537
    Abstract: Methods of preparing a carbon doped oxide (CDO) layer with a low dielectric constant and low residual stress are provided. The methods involve, for instance, providing a substrate to a deposition chamber and exposing it to a chemical precursor having molecules with at least one carbon-carbon triple bond, followed by igniting and maintaining a plasma in a deposition chamber using radio frequency power having high and low frequency components or one frequency component only, and depositing the carbon doped oxide film under conditions in which the resulting dielectric layer has a compressive stress or a tensile stress of not greater than, e.g., about 50 MPa, and dielectric constant of less than 3.
    Type: Grant
    Filed: February 27, 2004
    Date of Patent: June 24, 2008
    Assignee: Novellus Systems, Inc.
    Inventors: Qingguo Wu, Dong Niu, Haiying Fu
  • Patent number: 7381452
    Abstract: The present invention concerns an improved process for the deposition of amorphous hydrogenated carbon film, more specifically an improved low temperature, low power and low vacuum cathodic sputtering process. The invention also concerns the film produced by said process and articles containing an amorphous hydrogenated carbon film coating.
    Type: Grant
    Filed: May 8, 2002
    Date of Patent: June 3, 2008
    Inventors: Luiz Goncalves Neto, Ronaldo D. Mansano, Giuseppe A. Cirino, Luiz S. Zambom, Patrick B. Verdonck
  • Publication number: 20080113110
    Abstract: Methods of forming a metal carbide film are provided. In some embodiments, methods for forming a metal carbide film in an atomic layer deposition (ALD) type process comprise alternately and sequentially contacting a substrate in a reaction space with vapor phase pulses of a metal compound and one or more plasma-excited species of a carbon-containing compound. In other embodiments, methods of forming a metal carbide film in a chemical vapor deposition (CVD) type process comprise simultaneously contacting a substrate in a reaction space with a metal compound and one or more plasma-excited species of a carbon-containing compound. The substrate is further exposed to a reducing agent.
    Type: Application
    Filed: October 16, 2007
    Publication date: May 15, 2008
    Applicant: ASM America, Inc.
    Inventors: Kai-Erik Elers, Glen Wilk, Steven Marcus
  • Publication number: 20080081130
    Abstract: A substrate processing apparatus exposes a substrate in a process zone of a process chamber to a plasma of a precursor gas comprising a hydrocarbon gas to deposit carbon-doped silicon on the substrate. An effluent comprising unreacted precursor gas and byproducts from the carbon-doped silicon deposition process is exhausted from the process zone and passed into an effluent treatment zone of an effluent treatment reactor. An additive gas comprising an oxygen-containing gas is added to the effluent treatment zone and a plasma is formed of the effluent and additive gas to treat the effluent to reduce the content of unreacted precursor gas and byproduct in the effluent.
    Type: Application
    Filed: September 29, 2006
    Publication date: April 3, 2008
    Applicant: Applied Materials, Inc.
    Inventors: Moretza Farnia, Mehran Moalem
  • Patent number: 7329608
    Abstract: The invention is embodied in a plasma flow device or reactor having a housing that contains conductive electrodes with openings to allow gas to flow through or around them, where one or more of the electrodes are powered by an RF source and one or more are grounded, and a substrate or work piece is placed in the gas flow downstream of the electrodes, such that said substrate or work piece is substantially uniformly contacted across a large surface area with the reactive gases emanating therefrom.
    Type: Grant
    Filed: November 5, 2004
    Date of Patent: February 12, 2008
    Assignee: The Regents of the University of California
    Inventors: Steven E. Babayan, Robert F. Hicks
  • Patent number: 7323219
    Abstract: The invention relates to a coating and apparatus and method for applying the same, said coating including Diamond Like Carbon (DLC) applied by chemical vapor deposition using a pulsed DC biased power supply, typically having an initial metal layer and followed by a transitional metal carbide layer and a DLC layer. The depths and transitions between the materials can be selected to suit requirements. The apparatus also includes the use of an arrangement with at least one electrode with an RF power supply and the selective control of the power supplies to the electrode and substrates is used to improve the efficiency of application.
    Type: Grant
    Filed: March 14, 2003
    Date of Patent: January 29, 2008
    Assignee: Teer Coatings Ltd
    Inventor: Denis Teer
  • Patent number: 7314540
    Abstract: A diamond electrode having a sufficiently low resistance is disclosed which is realized by increasing the amount of boron added thereto. A method for producing a high-performance, high-durability electrode is also disclosed by which adhesiveness between a diamond coating and a substrate and separation resistance during electrolysis are sufficiently increased. An electrode composed of a substrate and a diamond layer coating the substrate is characterized in that the electrode is composed of a base coated with diamond and the diamond contains boron in such an amount that the boron concentration is not less than 10,000 ppm but not more than 100,000 ppm. The base is preferably made of an insulating material.
    Type: Grant
    Filed: May 25, 2004
    Date of Patent: January 1, 2008
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Yuichiro Seki, Kenji Izumi, Takahiro Imai
  • Patent number: 7303789
    Abstract: Methods are provided to form a thin film reproducibly in a process for forming the thin film on the inner wall surface facing a space formed in a substrate by plasma CVD. A thin film is produced on an inner wall surface of a substrate facing a space formed in the substrate. The substrate is contained in a chamber for plasma CVD process. A gas for plasma reaction is then flown into the space and a pulse voltage is applied on the substrate without substantially applying a direct bias voltage on the substrate to form the thin film on the inner wall surface.
    Type: Grant
    Filed: January 30, 2004
    Date of Patent: December 4, 2007
    Assignee: NGK Insulators, Ltd.
    Inventors: Takao Saito, Yukinori Nakamura, Yoshimasa Kondo, Naoto Ohtake
  • Patent number: 7303790
    Abstract: Electron cyclotron resonance plasma deposition process and device for single-wall carbon nanotubes (SWNTs) on a catalyst-free substrate, by injection of microwave power into a deposition chamber comprising a magnetic confinement structure with a magnetic mirror, and at least one electron cyclotron resonance area inside or at the border of the deposition chamber and facing the substrate, whereby dissociation and/or ionization of a gas containing carbon is caused, at a pressure of less than 10?3 mbars, in the magnetic mirror at the center of the deposition chamber, producing species that will be deposited on said heated substrate. The substrate surface includes raised and/or lowered reliefs. The invention concerns the SWNTs thus obtained.
    Type: Grant
    Filed: October 26, 2001
    Date of Patent: December 4, 2007
    Assignee: Commissariat A l'Energie Atomique
    Inventors: Marc Delaunay, Cyril Vannufel
  • Patent number: 7273655
    Abstract: A slidably movable member such as an adjusting shim used in a valve operating mechanism of an internal combustion engine of an automotive vehicle. The slidably movable member is used in contact with lubricating oil and comprises a substrate. A hard carbon-based film is coated on a surface of the substrate. The hard carbon-based film has a surface section which contains at least one of nitrogen and oxygen in an amount ranging from 0.5 to 30 at % and/or hydrogen in an amount of not more than 10 at %.
    Type: Grant
    Filed: January 12, 2005
    Date of Patent: September 25, 2007
    Assignees: Nissan Motor Co., Ltd.
    Inventors: Shojiro Miyake, Yoshiteru Yasuda, Makoto Kano, Yutaka Mabuchi
  • Patent number: 7264850
    Abstract: A process for depositing a diamond-like carbon film, which comprises providing a means for generating a sheet-like beam-type plasma region inside a vacuum vessel for depositing the diamond-like carbon film, and depositing the film on a substrate being moved through said plasma region. Also claimed is an apparatus for fabricating a magnetic recording medium by sequentially and continuously forming a magnetic layer and a diamond-like carbon film on a polymer substrate material, which comprises at least a first vacuum vessel for forming the magnetic layer of the magnetic recording medium and a second vacuum vessel for forming the diamond-like carbon film, provided that the pressure difference between the operation pressures for the first vessel and the second vessel is set in the range of from 10?2 to 10?5 Torr.
    Type: Grant
    Filed: October 5, 1999
    Date of Patent: September 4, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Kenji Itoh, Shigenori Hayashi
  • Patent number: 7247348
    Abstract: Methods for making an erosion resistant gas turbine engine compressor airfoil substrates coated with a diamond-like coating (DLC), wherein the coating airfoil substrates are protected from ingested abrasive particulates, may include the step of performing a hydrogen-free chemical vapor deposition (CVD) process by ablation of a graphite target in a vacuum. The DLC may be comprised predominantly of sp3 (tetrahedral) carbon, and may be devoid of detectable amounts of hydrogen.
    Type: Grant
    Filed: February 25, 2004
    Date of Patent: July 24, 2007
    Assignee: Honeywell International, Inc.
    Inventor: Robert L. Power
  • Patent number: 7238393
    Abstract: A method for depositing a silicon carbide layer onto a substrate comprises providing a silicon and carbon source gas and an inert gas into a reaction zone. The reaction zone contains the substrate. The method further comprises producing an electric field in the reaction zone. The electric field is generated using low and high frequency RF energy produced by an RF power supply. The RF power supply generates power at an electrode surface used for plasma discharge in the reaction zone. The method further comprises reacting the silicon and carbon source gas to deposit a silicon carbide film on the substrate. The RF power supply generates high energy RF power and low energy RF power during a processing period.
    Type: Grant
    Filed: April 14, 2003
    Date of Patent: July 3, 2007
    Assignee: ASM Japan K.K.
    Inventors: Kamal Kishore Goundar, Tadashi Kumakura, Kiyoshi Satoh
  • Patent number: 7226643
    Abstract: A thermal cracking chemical vapor deposition method for synthesizing a nano-carbon material is provided. The method includes steps of (a) providing a substrate, (b) spreading a catalyst on the substrate, (c) putting the substrate into a reactor, (d) introducing a carbon containing material, and (e) heating the carbon containing material, thereby the carbon containing material being cracked to provide a carbon source for forming the nano-carbon material on the substrate.
    Type: Grant
    Filed: January 13, 2003
    Date of Patent: June 5, 2007
    Assignee: National Science Council
    Inventors: Zhen-Yu Juang, Teng-Fang Kuo, Chuen-Horng Tsai, I-Nan Lin
  • Patent number: 7200460
    Abstract: A method of forming a silicon carbide layer for use in integrated circuits is provided. The silicon carbide layer is formed by reacting a gas mixture comprising a silicon source, a carbon source, and a nitrogen source in the presence of an electric field. The as-deposited silicon carbide layer incorporates nitrogen therein from the nitrogen source.
    Type: Grant
    Filed: February 25, 2003
    Date of Patent: April 3, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Francimar Campana, Srinivas Nemani, Michael Chapin, Shankar Venkataraman
  • Patent number: 7189290
    Abstract: A method and apparatus for cleaning a source gas introduction pipe, which can prevent strong adhesion of contaminant mainly containing carbon powder on an outer surface of the source gas introduction pipe to easily remove the contaminant in a short period of time. While compressed air is sprayed toward the contaminant, the contaminant removed by the spray of the compressed air is exhausted outside a system of a deposition chamber by suction and exhausting device so that the contaminant is not transferred to sides of the deposition chamber and a plastic container in which a CVD film is formed, in a process for extracting the source gas introduction pipe from the plastic container after the CVD film is formed on an inner surface of the plastic container.
    Type: Grant
    Filed: May 21, 2003
    Date of Patent: March 13, 2007
    Assignees: Mitsubishi Shoji Plastics Corporation, Youtec Co., Ltd., Kirin Brewery Company, Limited
    Inventors: Kenichi Hama, Tsuyoshi Kage, Takumi Kobayashi, Takeharu Kawabe
  • Patent number: 7179923
    Abstract: Compounds having two reactive functional groups are described that can be used to provide a connector group between a substrate and an amine-containing material. The first reactive functional group can be used to provide attachment to a surface of a substrate. The second reactive functional group is a N-sulfonylaminocarbonyl group that can be reacted with an amine-containing material, particularly a primary aliphatic amine, to form a carbonylimino-containing connector group. The invention also provides articles and methods for immobilizing amine-containing materials to a substrate.
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: February 20, 2007
    Assignee: 3M Innovative Properties Company
    Inventors: Karl E. Benson, Moses M. David, Cary A. Kipke, Brinda B. Lakshmi, Charles M. Leir, George G. I. Moore, Rahul R. Shah
  • Patent number: 7166336
    Abstract: Disclosed is an apparatus for producing DLC film-coated plastic containers, which comprises an outer electrode unit disposed outside a plastic container, an inner electrode disposed inside the plastic container, a duct through which a raw material gas of a carbon source is fed into the plastic container having been degassed, and a high-frequency oscillator for applying a voltage between the outer electrode unit and the inner electrode with a carbon source gas being fed into the container, thereby to generate plasma to form a DLC film on the inner surface of the plastic container. In the apparatus, the outer electrode unit comprises a bottom electrode disposed along the bottom of the plastic container, and a body electrode disposed along the body of the plastic container, and the upper edge of the bottom electrode is positioned below the center between the top and the bottom of the plastic container.
    Type: Grant
    Filed: April 21, 2000
    Date of Patent: January 23, 2007
    Assignees: Mitsubishi Shoji Plastics Corporation, Hokkai Can Co., Ltd., Kirin Brewery Company Limited
    Inventors: Shigeki Mori, Yuuji Yamashita, Tsuyoshi Kage
  • Patent number: 7160617
    Abstract: A layer of single crystal boron doped diamond produced by CVD and having a total boron concentration which is uniform. The layer is formed from a single growth sector, or has a thickness exceeding 100 ?m, or has a volume exceeding 1 mm3, or a combination of such characteristics.
    Type: Grant
    Filed: September 3, 2003
    Date of Patent: January 9, 2007
    Inventors: Geoffrey Alan Scarsbrook, Philip Maurice Martineau, Daniel James Twitchen, Andrew John Whitehead, Michael Andrew Cooper, Bärbel Susanne Charlotte Dorn
  • Patent number: 7160585
    Abstract: A process makes at least one nanotube between two electrically conducting elements located on a substrate, using, inside a deposition chamber, a microwave power, a magnetic field, and at least one electronic cyclotron resonance zone faciliting ionization and/or dissociation of a gas containing carbon injected into the deposition chamber at a low pressure inside the deposition chamber, causing ionization and/or dissociation of this gas in each electronic cyclotron resonance zone. The ions and electrons produced are located along the field lines of the magnetic field set up in the deposition chamber. The process also includes a screening operation of the various species produced in each electronic cyclotron resonance zone to enable exclusive access of CxHy°non condensable free radicals produced to access a deposition zone adjacent to at least one part of the substrate including the two electrically conducting elements to make the nanotube.
    Type: Grant
    Filed: December 26, 2002
    Date of Patent: January 9, 2007
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Marc Delaunay, Anne Senillou, Marie-Noelle Semeria
  • Patent number: 7160616
    Abstract: The invention describes a device and a process that render possible the production of a layer system for wear protection, corrosion protection and improvement of the slipping properties and the like with an adhesion layer to be arranged on a substrate, a transition layer to be arranged on the adhesion layer and a covering layer of diamond-like carbon, wherein the adhesion layer comprises at least one element of the group of elements that contains the elements of the fourth, fifth and sixth subgroup of the periodic table and silicon, the transition layer comprises carbon and at least one element of the aforesaid groups and covering layer consists essentially of diamond-like carbon, the layer system having a hardness of at least 15 GPa, preferably at least 20 GPa, and an adhesion of at least 3 HF according to VDI 382l, Sheet 4.
    Type: Grant
    Filed: December 27, 2000
    Date of Patent: January 9, 2007
    Assignee: OC Oerlikon Balzers Ltd.
    Inventors: Orlaw Massler, Mauro Pedrazzini, Christian Wohlrab, Hubert Eberle, Martin Grischke, Thorsten Michler
  • Patent number: 7144606
    Abstract: The present invention generally provides improved adhesion and oxidation resistance of carbon-containing layers without the need for an additional deposited layer. In one aspect, the invention treats an exposed surface of carbon-containing material, such as silicon carbide, with an inert gas plasma, such as a helium (He), argon (Ar), or other inert gas plasma, or an oxygen-containing plasma such as a nitrous oxide (N2O) plasma. Other carbon-containing materials can include organic polymeric materials, amorphous carbon, amorphous fluorocarbon, carbon containing oxides, and other carbon-containing materials. The plasma treatment is preferably performed in situ following the deposition of the layer to be treated. Preferably, the processing chamber in which in situ deposition and plasma treatment occurs is configured to deliver the same or similar precursors for the carbon-containing layer(s). However, the layer(s) can be deposited with different precursors.
    Type: Grant
    Filed: November 22, 2004
    Date of Patent: December 5, 2006
    Assignee: Applied Materials, Inc.
    Inventor: Judy Huang
  • Patent number: 7128974
    Abstract: This invention relates to diamond and more particularly to diamond produced by chemical vapour deposition (hereinafter referred to as CVD). According to a first aspect of the invention, there is provided a layer of single crystal CVD diamond of high quality having a thickness of at least 2 mm.
    Type: Grant
    Filed: December 19, 2003
    Date of Patent: October 31, 2006
    Inventors: Geoffrey Alan Scarsbrook, Philip Maurice Martineau, Barbel Susanne Charlotte Dorn, Michael Andrew Cooper, John Lloyd Collins, Andrew John Whitehead, Daniel James Twitchen, Ricardo Simon Sussmann
  • Patent number: 7125588
    Abstract: A method of forming an insulating ceramic film or a metallic film by a plasma CVD process in which a high density plasma is generated in the presence of a magnetic field wherein the electric power for generating the plasma has a pulsed waveform. The electric power typically is supplied by microwave, and the pulsed wave may be a complex wave having a two-step peak, or may be a complex wave obtained by complexing a pulsed wave with a stationary continuous wave.
    Type: Grant
    Filed: December 8, 2003
    Date of Patent: October 24, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Akiharu Miyanaga, Tohru Inoue, Shunpei Yamazaki
  • Patent number: 7115306
    Abstract: Provided are a method of growing carbon nanotubes and a carbon nanotube device. The method includes: depositing an aluminum layer on a substrate; forming an insulating layer over the substrate to cover the aluminum layer; patterning the insulating layer and the aluminum layer on the substrate to expose a side of the aluminum layer; forming a plurality of holes in the exposed side of the aluminum layer to a predetermined depth; depositing a catalyst metal layer on the bottoms of the holes; and growing the carbon nanotubes from the catalyst metal layer.
    Type: Grant
    Filed: January 18, 2005
    Date of Patent: October 3, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Soo-hwan Jeong, Wan-jun Park, In-kyeong Yoo, Ju-hye Ko
  • Patent number: 7117064
    Abstract: A method of forming a silicon carbide layer for use in integrated circuit fabrication processes is provided. The silicon carbide layer is formed by reacting a gas mixture comprising a silicon source, a carbon source, and a dopant in the presence of an electric field. The as-deposited silicon carbide layer has a compressibility that varies as a function of the amount of dopant present in the gas mixture during later formation.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: October 3, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Srinivas D Nemani, Li-Qun Xia, Dian Sugiarto, Ellie Yieh, Ping Xu, Francimar Campana-Schmitt, Jia Lee
  • Patent number: 7087271
    Abstract: A low dielectric constant hydrogenated silicon-oxycarbide (SiCO:H) film is prepared by bringing an organosilicon or organosilicate compound having at least one vinyl or ethynyl group, or a mixture of a saturated organosilicon or organosilicate compound and an unsaturated hydrocarbon into contact with a substrate in the presence of an O2-containing gas plasma.
    Type: Grant
    Filed: June 28, 2002
    Date of Patent: August 8, 2006
    Assignee: Postech Foundation
    Inventors: Shi-Woo Rhee, Sang-Ki Kwak
  • Patent number: 7074461
    Abstract: A hydrogenated SiOC thin film fabrication method includes supplying bis-trimethylsilylmethane and oxygen retaining gas to a wafer installed inside a reaction channel through one supply pipe, simultaneously or sequentially supplying hydrogen to the wafer through another supply pipe, applying RF power in the range of 100 W˜2000 W while supplying those gases and generating a plasma of those gases. During a post process such as oxygen ashing, carbon loss of SiOC film is minimized due to the supplied hydrogen.
    Type: Grant
    Filed: July 13, 2004
    Date of Patent: July 11, 2006
    Assignee: Jusung Engineering Co., Ltd.
    Inventor: Young Suk Lee
  • Patent number: 7060323
    Abstract: A material containing, as a main component, an organic silicon compound represented by the following general formula: R1xSi(OR2)4-x (where R1 is a phenyl group or a vinyl group; R2 is an alkyl group; and x is an integer of 1 to 3) is caused to undergo plasma polymerization or react with an oxidizing agent to form an interlayer insulating film composed of a silicon oxide film containing an organic component. As the organic silicon compound where R1 is a phenyl group, there can be listed phenyltrimethoxysilane or diphenyldimethoxysilane. As the organic silicon compound where R1 is a vinyl group, there can be listed vinyltrimethoxysilane or divinyldimethoxysilane.
    Type: Grant
    Filed: March 28, 2003
    Date of Patent: June 13, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Gaku Sugahara, Nobuo Aoi, Koji Arai, Kazuyuki Sawada
  • Patent number: 7045175
    Abstract: A method for manufacturing a magnetic recording medium includes forming a first protective layer of first material over a magnetic film provided on a substrate. The first protective layer has a thickness of about 0.2 nm to about 2 nm. A second protective layer of second material is formed over the first protective layer by driving ions of the second material into the first protective layer. The first protective layer is configured to prevent the ions of the second material from penetrating into the magnetic film.
    Type: Grant
    Filed: February 28, 2003
    Date of Patent: May 16, 2006
    Assignee: Hitachi, Ltd.
    Inventors: Shigehiko Fujimaki, Toru Yatsue, Yuuichi Kokaku, Toshinori Ono
  • Patent number: 7033649
    Abstract: A substrate is coated with a layer(s) or coating(s) that includes, for example, amorphous carbon in a form of diamond-like carbon (DLC). In certain embodiments, the DLC inclusive layer may be doped with at least one polar inducing dopant (e.g., Boron, Nitrogen, and/or any other suitable polar inducing dopant) in order to make the layer more polar and thus more hydrophilic so as to have a lower contact angle ?. In other embodiments, where such doping is optional, the DLC may be exposed to ultraviolet (UV) radiation in a manner sufficient to cause the contact angle ? of the DLC layer to drop into a hydrophilic range (e.g., less than or equal to about 20 degrees).
    Type: Grant
    Filed: June 21, 2004
    Date of Patent: April 25, 2006
    Assignee: Guardian Industries Corp.
    Inventor: Vijayen S. Veerasamy
  • Patent number: 6982071
    Abstract: Ion beam-deposited, nitrogen-doped C:H films having substantially lower resistivities than undoped ion beam-deposited C:H films and suitable for use as hard, abrasion-resistant overcoat layers for magnetic recording media, such as hard disks, are formed by supplying a mixture of hydrocarbon and nitrogen gases to an ion beam generator. Nitrogen atom content of the films is controlled to within from about 5 to about 25 at. % by appropriate selection of the ratio of hydrocarbon gas flow to nitrogen gas flow. The resultant IBD i-C:HN films exhibit a reduced tendency for charge build-up thereon during hard disk operation by virtue of their lower resistivity vis-à-vis conventional a-C:H materials.
    Type: Grant
    Filed: January 14, 2004
    Date of Patent: January 3, 2006
    Assignee: Seagate Technology LLC
    Inventors: Xiaoding Ma, Kevin J. Grannen, Jing Gui, Jeffrey A. McCann, Mark A. Shows
  • Patent number: 6969447
    Abstract: A method for sputtering a thin film protective layer with improved durability is disclosed. The method reduces kinetic energy of the ions of the overcoat material during the initial period of deposition to form a buffering interface which reduces the interpenetration of the atoms of the protective layer into the underlying film. In the method of the invention the sputtering of the overcoat preferably begins with zero (or very low) voltage applied to the underlying film resulting in minimal ion implantation in the underlying film. The “high energy” phase of the process begins with increases in the magnitude of the negative bias voltage applied to the underlying film. The higher energy imparted to ions in the plasma result in a denser and harder film being formed over the initial buffer layer. The protective layer preferably comprises carbon and nitrogen.
    Type: Grant
    Filed: January 12, 2004
    Date of Patent: November 29, 2005
    Assignee: International Business Machines Corporation
    Inventors: Daryl J. Pocker, Jan-Ulrich Thiele, Bond-Yen Ting, Richard Longstreth White, Bing K. Yen
  • Patent number: 6967043
    Abstract: A method of manufacturing, with high purity and high efficiency, a multi-wall carbon nanotube (10) having layers densely fitted to the center part thereof, comprising the step of leading a graphite rod (2) into plasma flame (1) generated in the atmosphere of inert gas (4) added with hydrogen to evaporate carbon so as to stack the densest multi-wall carbon nanotube (10) on the surface of the graphite rod (2).
    Type: Grant
    Filed: November 5, 2002
    Date of Patent: November 22, 2005
    Assignees: Japan Science and Technology Agency, NEC Corporation
    Inventors: Sumio Iijima, Masako Yudasaka, Akira Koshio
  • Patent number: 6958175
    Abstract: A plasma 10 is generated within a film formation chamber 2, and mainly a nitrogen gas 11 is excited within the film formation chamber 2. Then, the excited nitrogen gas 11 is reacted with a diborane gas 13 diluted with a hydrogen gas, thereby forming a boron nitride film 15 on a substrate 4. Thus, the boron nitride film 15 excellent in mechanical and chemical resistance, high in thermal conductivity, and having a low relative dielectric constant ? can be formed speedily.
    Type: Grant
    Filed: March 28, 2002
    Date of Patent: October 25, 2005
    Assignee: Kabushiki Kaisha Watanabe Shoko
    Inventors: Hitoshi Sakamoto, Noriaki Ueda, Takashi Sugino
  • Patent number: 6926926
    Abstract: A SiC-based layer is deposited on a substrate having an electrical resistivity between about 1 and 100 ? cm. The substrate is disposed in a process chamber. A gaseous mixture having a silicon-containing gas and a hydrocarbon-containing gas is flowed to the process chamber. A high-density plasma, having an ion density greater than about 1011 ions/cm3 is generated from the plasma. A small electrical bias, between about 0.65 and 1.30 W/cm2, is applied to the substrate. The low bias compensates for an unexpected cooling that results when depositing the SiC-based layer but is low enough that implantation of hydrogen is minimized.
    Type: Grant
    Filed: September 10, 2001
    Date of Patent: August 9, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Seon-Mee Cho, Hichem M'Saad, Farhad Moghadam