Inorganic Carbon Containing Coating Material, Not As Steel (e.g., Carbide, Etc.) Patents (Class 427/577)
  • Patent number: 6924001
    Abstract: A production device for a DLC film-coated plastic container and a production method therefor, capable of forming DLC (diamond-like carbon) films simultaneously on the inner surfaces of a plurality of plastic containers, and reducing variations in film thickness. A production device for DLC film-coated plastic containers, for forming a plurality DLC films simultaneously, characterized by comprising a columnar external electrode (3) having housing spaces in which a plurality of plastic containers (7a-7d) can be disposed in parallel and independently, internal electrodes (9a-9d) respectively disposed in the housed containers (7a-7d), a matching box (14) connected to the external electrode (3) and impedance-matching a high-frequency load, and a high-frequency power supply (15) connected to the matching box.
    Type: Grant
    Filed: December 25, 2000
    Date of Patent: August 2, 2005
    Assignees: Mitsubishi Shoji Plastics Corporation, Youtec Co., Ltd.
    Inventors: Kenichi Hama, Tsuyoshi Kage, Takumi Kobayashi, Tomoyuki Araki
  • Patent number: 6919107
    Abstract: In a process for treating a surface with the aid of a glow discharge plasma sustained in a gas of substantially ambient pressure between two electrodes (10, 10?) unwanted effects of plasma filaments occurring in such a plasma are prevented by positioning the surface (17) to be treated in an edge region (14?) of the plasma. The surface is placed on one side of a plasma space (14) defined by the electrode faces (11, 11?) beyond a pair of aligned edges (13, 13?) of the electrode faces (11, 11?), at a distance of a few millimeters from these edges (13, 13?), and facing these edges (13, 13?). The treatment gas or treatment gas mixture is fed to the plasma space (14) from a second side opposite the edge region (14?) in which the surface (17) to be treated is positioned. During treatment, a substrate (16) whose one surface (17) is to be treated is either stationary or is advanced in a direction substantially perpendicular to the electrode faces (11, 11?).
    Type: Grant
    Filed: September 17, 2001
    Date of Patent: July 19, 2005
    Assignee: Tetra Pak (Suisse) S.A.
    Inventors: Walter Schwarzenbach, Bertrand Roessler, Pierre Fayet
  • Patent number: 6916511
    Abstract: A method of forming a hardened nano-imprinting stamp is disclosed. The hardened nano-imprinting stamp includes a plurality of silicon-based nano-sized features that have an hardened shell of silicon carbide, silicon nitride, or silicon carbide nitride. The hardened shell is made harder than the underlying silicon by a plasma carburization and/or a plasma nitridation process. During the plasma process atoms of carbon and/or nitrogen bombard and penetrate a plurality of exposed surfaces of the nano-sized features and chemically react with the silicon to form the hardened shell of silicon carbide, silicon nitride, or silicon carbide nitride.
    Type: Grant
    Filed: October 24, 2002
    Date of Patent: July 12, 2005
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Heon Lee, Gun-Young Jung
  • Patent number: 6913795
    Abstract: A method of making a tetrahedral amorphous carbon (ta-C) film comprises depositing carbon atoms over the surface of an object. The surface of the object is kept exposed to fluorine atoms during the deposition of the carbon atoms. The method allows the fluorine atoms to scatter within the deposited carbon atoms in the tetrahedral amorphous carbon film. The hardness of the tetrahedral amorphous carbon film can be improved in response to an increased content of sp3 carbon bonds included within the tetrahedral amorphous carbon film. In addition, the tetrahedral amorphous carbon film still provides a sufficient repellent performance to water due to the fluorine atoms existing near the exposed surface of the tetrahedral amorphous carbon film.
    Type: Grant
    Filed: October 28, 2002
    Date of Patent: July 5, 2005
    Assignee: Fujitsu Limited
    Inventor: Hiroyuki Hyodo
  • Patent number: 6881538
    Abstract: Materials for use in miniaturized arrays, the arrays, and methods of manufacturing. Materials for making arrays described include a substrate with a silicon-containing layer, optionally with linking agents and reactants.
    Type: Grant
    Filed: March 5, 2000
    Date of Patent: April 19, 2005
    Assignee: 3M Innovative Properties Company
    Inventors: Louis C. Haddad, Moses M. David, Kurt J. Halverson, Sanjay L. Patil, Jerald K. Rasmussen, James I. Hembre
  • Patent number: 6878418
    Abstract: A system and method for improving the durability and reliability of recording media used in hard drives is disclosed. A protective overcoat made by depositing a diamond like carbon (DLC) layer over a magnetic layer and then depleting a portion of the DLC protective layer of hydrogen before it is coated with a Perfluoropolyethers (PFPE) using an in-situ vapor lubrication technique. The portion of the DLC layer which is depleted can be data zone of the media so that the lubricant-bonding ratio is higher for the landing zone than it is for the data zone.
    Type: Grant
    Filed: March 27, 2003
    Date of Patent: April 12, 2005
    Assignee: Seagate Technology LLC
    Inventors: Xiaoding Ma, Michael Joseph Stirniman, Jing Gui
  • Patent number: 6878419
    Abstract: The application discloses methods of plasma treatment that employ an ion sheath in a capacitively-coupled system to increase the hydrophilicity of porous articles, including microporous articles having pore sizes of 0.05 to 1.5 micrometers, both on their surfaces and in their pores such that the articles' bulk wetting properties are improved.
    Type: Grant
    Filed: December 14, 2001
    Date of Patent: April 12, 2005
    Assignee: 3M Innovative Properties Co.
    Inventors: Moses Mekala David, Brinda Balasubramaniam Lakshmi
  • Patent number: 6863938
    Abstract: A diamond foam article comprises diamond deposited material on a substrate having an open contiguous structure at least partially filled with a filler material. Methods for forming a diamond foam article comprise providing a foam substrate; preparing the foam substrate for diamond deposition; depositing diamond material on the foam substrate by one of several diamond deposition methods; and at least partially filling the diamond foam article with a filler material. Diamond foam articles are bonded to other components.
    Type: Grant
    Filed: January 9, 2003
    Date of Patent: March 8, 2005
    Assignee: P1 Diamond, Inc.
    Inventor: John M. Pinneo
  • Patent number: 6858078
    Abstract: An apparatus for producing diamond in a deposition chamber including a heat-sinking holder for holding a diamond and for making thermal contact with a side surface of the diamond adjacent to an edge of a growth surface of the diamond, a noncontact temperature measurement device positioned to measure temperature of the diamond across the growth surface of the diamond and a main process controller for receiving a temperature measurement from the noncontact temperature measurement device and controlling temperature of the growth surface such that all temperature gradients across the growth surface are less than 20° C.
    Type: Grant
    Filed: November 6, 2002
    Date of Patent: February 22, 2005
    Assignee: Carnegie Institution of Washington
    Inventors: Russell J. Hemley, Ho-kwang Mao, Chih-shiue Yan, Yogesh K. Vohra
  • Patent number: 6855484
    Abstract: A method of forming a silicon carbide layer for use in integrated circuits is provided. The silicon carbide layer is formed by reacting a gas mixture comprising a silicon source, a carbon source, and a nitrogen source in the presence of an electric field. The as-deposited silicon carbide layer incorporates nitrogen therein from the nitrogen source.
    Type: Grant
    Filed: February 25, 2003
    Date of Patent: February 15, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Francimar Campana, Srinivas Nemani, Michael Chapin, Shankar Venkataraman
  • Patent number: 6855232
    Abstract: A method for making a magnetic disk comprises forming first and second protective carbon layers on a magnetic layer. The first protective carbon layer is predominantly SP3 carbon. The second protective carbon layer comprises about 50% or less SP3 carbon. The second protective carbon layer is very thin, e.g. between 0.1 and 1.0 nm thick. A lubricant layer (e.g. a perfluoropolyether lubricant) is applied to the second protective carbon layer. The second protective carbon layer facilitates improved cooperation between lubricant and the disk.
    Type: Grant
    Filed: December 5, 2003
    Date of Patent: February 15, 2005
    Assignee: Komag, Inc.
    Inventors: Bruce Jairson, Ching Jackie Tsoi, Wen Liu, Shigeto Hashimoto, Eric Woo Hock Yong, Tsutomu Tom Yamashita
  • Patent number: 6851939
    Abstract: A system for chemical vapor deposition at ambient temperature using electron cyclotron resonance (ECR) comprising: an ECR system; a sputtering system for providing the ECR system with metal ion; an organic material supply system for providing organic material of gas or liquid phase; and a DC bias system for inducing the metal ion and the radical ion on a substrate is provided, and a method for fabricating metal composite film comprising: a step of providing a process chamber with the gas as plasma form using the ECR; a step of providing the chamber with the metal ion and the organic material; a step of generating organic material ion and radical ion by reacting the metal ion and the organic material with the plasma; and a step of chemically compounding the organic material ion and the radical ion after inducing them on a surface of a specimen is also provided.
    Type: Grant
    Filed: April 17, 2002
    Date of Patent: February 8, 2005
    Assignee: Korea Institute of Science and Technology
    Inventors: Joong-Kee Lee, Dal-Keun Park, Byung-Won Cho, Joo-Man Woo, Bup-Ju Jeon
  • Patent number: 6838126
    Abstract: A film comprising an i-carbon is formed by converting a reactive gas containing a carbon compound gas into plasma by a resonance using a microwave and a magnetic field.
    Type: Grant
    Filed: July 8, 2002
    Date of Patent: January 4, 2005
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Naoki Hirose, Takashi Inujima, Toru Takayama
  • Patent number: 6827976
    Abstract: A method for manufacturing a tool or machine component increases wear resistance by providing a base body made of a metal or of a hard metal and having a surface. At least a portion of the surface is vacuum coated with a hard solid layer system having at least one layer of a material selected from the group consisting of nitrides, carbides, oxides, carbonitrides, oxynitrides, and oxycarbonitrides of at least one metal. A metallic intermediate layer having the at least one metal is vacuum deposited on the hard solid layer system, and a sliding layer system is vacuum deposited on the metallic intermediate layer.
    Type: Grant
    Filed: April 17, 2002
    Date of Patent: December 7, 2004
    Assignee: Unaxis Trading AG
    Inventors: Volker Derflinger, Hans Braendle, Christian Wohlrab
  • Patent number: 6824836
    Abstract: A magnetic recording medium comprising a magnetic layer and a protective carbon-containing overcoat comprising a first carbon density, preferably of a low-density carbon, and a second carbon density different from the first carbon density, preferably of a high-density carbon, and a method of making and using the magnetic recording medium are disclosed.
    Type: Grant
    Filed: July 23, 2003
    Date of Patent: November 30, 2004
    Assignee: Seagate Technology LLC
    Inventors: Jing Gui, Michael Stirniman, Xiaoding Ma
  • Publication number: 20040224161
    Abstract: A substrate is coated with a layer(s) or coating(s) that includes, for example, amorphous carbon in a form of diamond-like carbon (DLC). In certain embodiments, the DLC inclusive layer may be doped with at least one polar inducing dopant (e.g., Boron, Nitrogen, and/or any other suitable polar inducing dopant) in order to make the layer more polar and thus more hydrophilic so as to have a lower contact angle &thgr;. In other embodiments, where such doping is optional, the DLC may be exposed to ultraviolet (UV) radiation in a manner sufficient to cause the contact angle &thgr; of the DLC layer to drop into a hydrophilic range (e.g., less than or equal to about 20 degrees).
    Type: Application
    Filed: June 21, 2004
    Publication date: November 11, 2004
    Applicant: Guardian Industries Corp.
    Inventor: Vijayen S. Veerasamy
  • Publication number: 20040219304
    Abstract: The present invention concerns an improved process for the deposition of amorphous hydrogenated carbon film, more specifically an improved low temperature, low power and low vacuum cathodic sputtering process. The invention also concerns the film produced by said process and articles containing an amorphous hydrogenated carbon film coating.
    Type: Application
    Filed: February 17, 2004
    Publication date: November 4, 2004
    Inventors: Luiz Goncalves Neto, Ronald D. Mansano, Giuseppe A. Cirino, Luiz S. Zambom, Patrick B. Verdonck
  • Publication number: 20040219294
    Abstract: A process and an arrangement by means of which it is possible to generate a layer system for the protection against wear, for the protection against corrosion and for improving the sliding properties or the like, which has an adhesive layer for the arrangement on a substrate, a transition layer for the arrangement on the adhesive layer and a cover layer of an adamantine carbon, the adhesive layer including at least one element from the Group which contains the elements of the 4th, 5th and 6th Subgroup and silicon, the transition layer comprising carbon and at least one element from the above-mentioned Group, and the cover layer consisting essentially adamantine carbon, the layer system having a hardness of at last 15 GPa, preferably at least 20 GPa, and an adhesion of at least 3 HF.
    Type: Application
    Filed: February 5, 2004
    Publication date: November 4, 2004
    Applicant: Balzars Aktiengesellschaft
    Inventors: Orlaw Massler, Mauro Pedrazzini, Christian Wohlrab, Hubert Eberle, Martin Grischke
  • Patent number: 6797335
    Abstract: This invention relates to metallurgy and machine building, more specifically to the development of a method that improves service life, durability and repair of machine components by applying coatings to working surfaces followed by special treatment of the surfaces. The essence of the invention is deposition of erosion and corrosion resistant coatings on machine components, that comprises a plurality of microlayers wherein each of the microlayers comprises one or more elements selected from the transition metal group, solid solutions or interstitial phases based thereon, and wherein one or more of the microlayers is subjected to high energy non-metallic ion deposition that causes changes in structure and composition of the deposited microlayer thus improving performance characteristics. After the full coating has been deposited, a vibromechanical treatment with micro-pellets is applied to the surface of machine components, that improves distribution of residual stresses.
    Type: Grant
    Filed: June 5, 2001
    Date of Patent: September 28, 2004
    Inventors: Anatol y Nikolaevich Paderov, Jouri Genrihovich Ve Xler
  • Patent number: 6797336
    Abstract: The present invention is a method and apparatus for the synthesis of multi-component substances, comprising entities of at least two elements, molecules, grains, crystals, structural units, or phases of matter, in which the scale of the distribution of the elements, molecules, or phases of matter may range from on the order of nanometers or less, to about one millimeter, depending upon the specific materials and process conditions that are chosen. The method and apparatus of the present invention further provides processes for preparing these compositions of matter as thin films or particles.
    Type: Grant
    Filed: July 1, 2002
    Date of Patent: September 28, 2004
    Assignee: AMBP Tech Corporation
    Inventors: James F. Garvey, Gary S. Tompa, Stuart G. MacDonald, Robert L. DeLeon
  • Patent number: 6793849
    Abstract: An electrically conducting n-type ultrananocrystalline diamond (UNCD) having no less than 1019 atoms/cm3 of nitrogen is disclosed. A method of making the n-doped UNCD. A method for predictably controlling the conductivity is also disclosed.
    Type: Grant
    Filed: December 12, 2003
    Date of Patent: September 21, 2004
    Assignee: The University of Chicago
    Inventors: Dieter M. Gruen, Alan R. Krauss, Orlando H. Auciello, John A. Carlisle
  • Patent number: 6793979
    Abstract: A substrate is coated with a layer(s) or coating(s) that includes, for example, amorphous carbon in a form of diamond-like carbon (DLC). In certain embodiments, the DLC inclusive layer may be doped with at least one polar inducing dopant (e.g., Boron, Nitrogen, and/or any other suitable polar inducing dopant) in order to make the layer more polar and thus more hydrophilic so as to have a lower contact angle &thgr;. In other embodiments, where such doping is optional, the DLC may be exposed to ultraviolet (UV) radiation in a manner sufficient to cause the contact angle &thgr; of the DLC layer to drop into a hydrophilic range (e.g., less than or equal to about 20 degrees).
    Type: Grant
    Filed: October 2, 2003
    Date of Patent: September 21, 2004
    Assignee: Guardian Industries Corp.
    Inventor: Vijayen S. Veerasamy
  • Patent number: 6787200
    Abstract: Process and device for depositing, by electron cyclotron resonance plasma, a web of carbon nanofibres or nanotubes, on a substrate without a catalyst, by injection of a microwave power into a deposition chamber including a magnetic structure with a highly unbalanced magnetic mirror and at least one electron cyclotron resonance zone within the interior of the deposition chamber itself and opposite the substrate. Under a pressure of less than 10−4 mbar, ionization and/or dissociation of a gas containing carbon is induced in the magnetic mirror in the center of the deposition chamber, thus producing species that deposit on the substrate, which is heated.
    Type: Grant
    Filed: January 2, 2002
    Date of Patent: September 7, 2004
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Marc Delaunay, Marie-Noëlle Semeria
  • Publication number: 20040157006
    Abstract: After at least a magnetic layer is formed on a disk substrate, a carbon-based protection layer is formed by plasma CVD using a mixed gas of a hydrocarbon-based gas and a nitrogen gas under the condition that the temperature of the disk substrate with the magnetic layer formed thereon is higher than 200° C.
    Type: Application
    Filed: February 11, 2004
    Publication date: August 12, 2004
    Applicants: HOYA CORPORATION, HOYA MAGNETICS SINGAPORE PTE. LTD.
    Inventors: Takashi Sato, Kenji Ayama, Masafumi Ishiyama
  • Publication number: 20040157005
    Abstract: Briefly described, methods of forming diamond are described. A representative method, among others, includes: providing a substrate in a reaction chamber in a non-magnetic-field microwave plasma system; introducing, in the absence of a gas stream, a liquid precursor substantially free of water and containing methanol and at least one carbon and oxygen containing compound having a carbon to oxygen ratio greater than one, into an inlet of the reaction chamber; vaporizing the liquid precursor; and subjecting the vaporized precursor, in the absence of a carrier gas and in the absence in a reactive gas, to a plasma under conditions effective to disassociate the vaporized precursor and promote diamond growth on the substrate in a pressure range from about 70 to 130 Torr.
    Type: Application
    Filed: February 5, 2004
    Publication date: August 12, 2004
    Inventor: Yonhua Tzeng
  • Patent number: 6773762
    Abstract: In a case where a CF film is used as an interlayer dielectric film of a semiconductor device, when a wiring of tungsten is formed, the CF film is heated to a temperature of, e.g., about 400 to 450° C. At this time, F gases are desorbed from the CF film, so that there are various disadvantages due to the corrosion of the wiring and the decrease of film thickness. In order to prevent this, thermostability is enhanced. A compound gas of C and F, e.g., C4F8 gas, and a hydrocarbon gas, e.g., C2H4 gas, are used as thin film deposition gases. These gases are activated as plasma to deposit a CF film on a semiconductor wafer 10 using active species thereof. Then, Ar gas serving as a sputtering gas is introduced to be activated as plasma, and the CF film deposited on the wafer 10 is sputtered with the Ar plasma. If the thin-film deposition process and the sputtering process are alternately repeated, weak bonds existing in the CF film are removed by sputtering.
    Type: Grant
    Filed: May 18, 2000
    Date of Patent: August 10, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Noriaki Fukiage
  • Patent number: 6770332
    Abstract: In a case where a CF film is used as an interlayer dielectric file for a semiconductor device, when a wiring of tungsten is formed, the CF film is heated to a temperature of, e g., about 400 to 450° C. At this time, F containing gases are emitted from the CF film, so that there are various disadvantages due to the corrosion of the wiring and the decrease of film thickness. In order to prevent this, it is required to enhance thermostability. A compound gas of C and F, e.g., C4F8 gas, a hydrocarbon gas, e.g., C2H4 gas, and CO gas are used as thin film deposition gases. These gases are activated to deposit a CF film on a semiconductor wafer 10 at a process temperature of 400° C. using active species thereof. Since the number of diamond-like bonds are greater than the number of graphite-like bonds by the addition of CO gas, the bonds are strengthened and difficult to be cut even at a high temperature, so that thermostability is enhanced.
    Type: Grant
    Filed: May 18, 2000
    Date of Patent: August 3, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Risa Nakase, Takeshi Aoki, Akira Suzuki, Yoshihiro Kato
  • Patent number: 6755956
    Abstract: A method is described for catalyst-induced growth of carbon nanotubes, nanofibers, and other nanostructures on the tips of nanowires, cantilevers, conductive micro/nanometer structures, wafers and the like. The method can be used for production of carbon nanotube-anchored cantilevers that can significantly improve the performance of scaning probe microscopy (AFM, EFM etc). The invention can also be used in many other processes of micro and/or nanofabrication with carbon nanotubes/fibers. Key elements of this invention include: (1) Proper selection of a metal catalyst and programmable pulsed electrolytic deposition of the desired specific catalyst precisely at the tip of a substrate, (2) Catalyst-induced growth of carbon nanotubes/fibers at the catalyst-deposited tips, (3) Control of carbon nanotube/fiber growth pattern by manipulation of tip shape and growth conditions, and (4) Automation for mass production.
    Type: Grant
    Filed: June 4, 2001
    Date of Patent: June 29, 2004
    Assignee: UT-Battelle, LLC
    Inventors: James Weifu Lee, Douglas H. Lowndes, Vladimir I. Merkulov, Gyula Eres, Yayi Wei, Elias Greenbaum, Ida Lee
  • Patent number: 6753042
    Abstract: Wear-resistant and low-friction hard amorphous, diamond-like carbon coating (DLC) is formed directly on an eternal surface of a magnetic recording media sensor (MRMS). The coating demonstrates a high degree of hardness, low friction coefficient and moderate electric resistivity, providing abrasion-proof, low-clogging, static electricity-deterrent properties.
    Type: Grant
    Filed: May 2, 2000
    Date of Patent: June 22, 2004
    Assignee: ITAC Limited
    Inventors: Oleg Markovich Bakounine, Serguei Aleksandrovich Plotnikov, Ilia Shmulevich Trakhtenberg, Kensuke Uemura
  • Patent number: 6730364
    Abstract: A method for preparing carbon molecular sieve membrane is invented. A thin carbon-containing film is first deposited on a porous substrate. The thin film is then bombarded by high energy ions for surface modification. The surface modified film is then baked or calcined at a high temperature. The carbon molecular sieve membrane prepared according to the present invention can be used for gas separation as well as liquid separation, ions or solvents, etc., exhibiting improved permeance and improved selectivity simultaneously in gas separation. The ion bombardment includes generating plasma and ions in a gas phase, and applying a negative bias voltage to the substrate.
    Type: Grant
    Filed: March 28, 2002
    Date of Patent: May 4, 2004
    Assignee: National Science Council
    Inventors: Franklin Chau-Nan Hong, Liang-Chun Wang, Yoou-Bin Guo
  • Patent number: 6723391
    Abstract: Method for producing cutting tools provides a first hard material coating on a first region of a tool base body containing at least one cutting edge, using a plasma vacuum coating process. A second hard material coating is provided on a second region which is adjacent the first, also via plasma vacuum coating process. Hard material for the coatings is a carbide, oxide, oxicarbide, nitride, nitrocarbide, oxinitride or nitrooxicarbide of at least two of Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Al. The first coating has a content of at least two of the metal elements which is at most 2 at % different from the content of the two metal elements in the second coating if the tool is for higher adhesive strength than hardness. The first coating has a content of the two metal elements that is different from the content of the two metal elements of the second coating by more than 2 at % if the tool is for higher hardness than high adhesive strength.
    Type: Grant
    Filed: April 6, 2001
    Date of Patent: April 20, 2004
    Assignee: Unaxis Balzers AG
    Inventors: Volker Derflinger, Harald Zimmermann
  • Patent number: 6720035
    Abstract: The method includes the phases of preparation of said ceramic discs once lapped and polished; and deposition of a layer of hydrogenated amorphous carbon (or DLC: Diamond-Like Carbon) on said ceramic discs, said deposition phase including the following stages: exposure of said ceramic discs to vacuum; application of a cleaning plasma on said ceramic discs; application of a first deposition plasma on said ceramic discs; and application of a second deposition plasma on said ceramic discs. The ceramic disc is characterized in that it includes a layer of hydrogenated amorphous carbon deposited directly onto the ceramic disc. Thereby are obtained ceramic discs for utilization in mixer valves of single-lever mixer type whose friction coefficient is lower that that of the ceramic discs currently used, all this without loss of leak tightness, without having to use grease and with a low manufacturing cost.
    Type: Grant
    Filed: July 15, 2002
    Date of Patent: April 13, 2004
    Assignee: Industrias Ramon Soler, S.A.
    Inventors: Josè-Luis Andújar, Joan Esteve Pujol, Xavier Ferret Picazo, Maria Benelmekki
  • Publication number: 20040045636
    Abstract: A surface treatment method in which a surface of a part (7) is contacted with at least one activated species. The activated species is obtained by activating a gaseous medium containing at least two of the following elements: carbon, nitrogen, boron and oxygen. Preferably, the activated species is a neutral excited CN species. The activated species brings at least one interstitial element to the metal part (7) surface which is borne and maintained at a temperature enabling the interstitial element to be diffused into a surface layer of the metal part (7).
    Type: Application
    Filed: February 21, 2003
    Publication date: March 11, 2004
    Inventors: Laurent Poirier, Jean-Paul Lebrun, Bernard Delmas
  • Patent number: 6699531
    Abstract: In a case where a CF film is used as an interlayer dielectric film for a semiconductor device, when a wiring of tungsten is formed, the CF film is heated to a temperature of, e.g., about 400 to 450° C. At this time, a F gas is released from the CF film, so that there are various disadvantages due to the corrosion of the wiring and the decrease of film thickness. In order to prevent this, thermostability is enhanced. A compound gas of C and F, e.g., C4F8 gas, and a hydrocarbon gas, e.g., C2H4 gas, are used as thin film deposition gases. These gases are activated as plasma to deposit a CF film on a semiconductor wafer 10 using active species thereof. Then, a hydrogen plasma producing gas, e.g., H2 gas, is introduced to be activated as plasma, and the CF film deposited on the wafer 10 is irradiated with the H plasma.
    Type: Grant
    Filed: April 28, 2000
    Date of Patent: March 2, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Noriaki Fukiage
  • Publication number: 20040037972
    Abstract: An electron-emitting device contains a vertical emitter electrode patterned into multiple laterally separated sections situated between the electron-emissive elements, on one hand, and a substrate, on the other hand. The electron-emissive elements comprising carbon nanotubes are grown at a temperature range of 200° C. to 600° C. compatible with the thermal stress of the underlying substrate. The electron-emissive elements are grown on a granulized catalyst layer that provides a large surface area for growing the electron-emissive elements at such low temperature ranges.
    Type: Application
    Filed: August 22, 2002
    Publication date: February 26, 2004
    Inventors: Kang Simon, Bae Craig, Kim Jung Jae
  • Publication number: 20040037973
    Abstract: The invention encompasses a method for sequentially processing separate sets of wafers within a chamber. Each set is subjected to plasma-enhanced deposition of material within the chamber utilizing a plasma that is primarily inductively coupled. After the plasma-enhanced deposition, and while the set remains within the chamber, the plasma is changed to a primarily capacitively coupled plasma. The cycling of the plasma from primarily inductively coupled to primarily capacitively coupled can increase the ratio of processed wafers to plasma reaction chamber internal sidewall cleanings that can be obtained while maintaining low particle counts on the processed wafers.
    Type: Application
    Filed: June 11, 2003
    Publication date: February 26, 2004
    Inventors: Weimin Li, Neal R. Rueger
  • Patent number: 6689425
    Abstract: Ion beam-deposited, nitrogen-doped C:H films having substantially lower resistivities than undoped ion beam-deposited C:H films and suitable for use as hard, abrasion-resistant overcoat layers for magnetic recording media, such as hard disks, are formed by supplying a mixture of hydrocarbon and nitrogen gases to an ion beam generator. Nitrogen atom content of the films is controlled to within from about 5 to about 25 at. % by appropriate selection of the ratio of hydrocarbon gas flow to nitrogen gas flow. The resultant IBD i-C:HN films exhibit a reduced tendency for charge build-up thereon during hard disk operation by virtue of their lower resistivity vis-à-vis conventional a-C:H materials.
    Type: Grant
    Filed: October 22, 2001
    Date of Patent: February 10, 2004
    Assignee: SeagateTechnology LLC
    Inventors: Xiaoding Ma, Kevin J. Grannen, Jing Gui, Jeffrey A. McCann, Mark A. Shows
  • Patent number: 6680112
    Abstract: A process for producing a magnetic recording medium, which comprises the steps of forming a non-magnetic undercoat film and a magnetic film on a non-magnetic substrate; forming a carbonaceous protective film thereon through plasma CVD to produce a disk D; etching the surface of a carbonaceous protective film in an etching apparatus 2 by use of plasma generated from an etching gas; and forming a lubricating film on the carbonaceous protective film surface.
    Type: Grant
    Filed: June 14, 2000
    Date of Patent: January 20, 2004
    Assignee: Showa Denko Kabushiki Kaisha
    Inventors: Mikio Suzuki, Kimie Takagi, Wenqiang Lu, Hiroshi Daio
  • Patent number: 6677001
    Abstract: A new chemical vapor reaction system is described. Instead of ECR where electrons can move as independent particles without interaction, a mixed cyclotron resonance is a main exciting principal for chemical vapor reaction. In the new proposed resonance, the resonating space is comparatively large so that a material having a high melting point such as diamond can be deposited in the form of a thin film by this inovative method.
    Type: Grant
    Filed: June 6, 1995
    Date of Patent: January 13, 2004
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Takashi Inujima, Naoki Hirose, Mamoru Tashiro, Shunpei Yamazaki
  • Patent number: 6669996
    Abstract: A method of synthesizing metal doped carbon films by placing a substrate in a chamber with a selected amount of a metalorganic compound. An electron cyclotron resonance is applied to the chamber in order to vaporize the metalorganic compound. The resonance is applied to the chamber until a metal doped carbon film is formed. The metalorganic compound is preferably selected from the group consisting of an organic salt of ruthenium, palladium, gold or platinum.
    Type: Grant
    Filed: July 6, 2001
    Date of Patent: December 30, 2003
    Assignee: University of Louisville
    Inventors: Mayumi Ueno, Mahendra Kumar Sunkara
  • Patent number: 6660342
    Abstract: A method of forming a film by a plasma CVD process in which a high density plasma is generated in the presence of a magnetic field wherein the electric power for generating the plasma has a pulsed waveform. The electric power typically is supplied by microwave, and the pulsed wave may be a complex wave having a two-step peak, or may be a complex wave obtained by complexing a pulsed wave with a stationary continuous wave.
    Type: Grant
    Filed: August 10, 2000
    Date of Patent: December 9, 2003
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Akiharu Miyanaga, Tohru Inoue, Shunpei Yamazaki
  • Patent number: 6656444
    Abstract: A method for making diamond material comprises providing a deposition chamber; placing a substrate in said deposition chamber; sealing and evacuating said deposition chamber; admitting to said deposition chamber gases suitable for diamond deposition; heating said substrate to a diamond deposition temperature; igniting and maintaining a plasma adjacent to a growth surface of said substrate such that said plasma extends no further than 1 mm from said growth surface of said substrate; and maintaining said plasma during a diamond deposition time period.
    Type: Grant
    Filed: October 27, 2000
    Date of Patent: December 2, 2003
    Assignee: P1 Diamond, Inc.
    Inventor: John M. Pinneo
  • Patent number: 6652969
    Abstract: A carbon film containing fluorine and hydrogen, wherein a spectrum determined by FT-IR (Fourier Transform Infrared) spectrum analysis exhibits such a relationship that a ratio (IR.C—F)/(IR.C—H) between a peak area (IR.C—F) in a range from 1000 cm−1-1300 cm−1 resulting from C—F bonds and a peak area (IR.C—H) in a range from 2800 cm−1 to 3100 cm−1 resulting from C—H bonds is larger than 0, and a spectrum determined by XPS (X-ray photoelectron spectrum analysis) exhibits such a relationship that a ratio (F1S/C1S) between a peak intensity resulting from F1S and a peak intensity resulting from C1S is larger than 0 and smaller than 3. A carbon film containing hydrogen and nitrogen. A carbon film containing at least one of metal, metal compound, silicon and silicon compound.
    Type: Grant
    Filed: April 11, 2001
    Date of Patent: November 25, 2003
    Assignee: Nissin Electric Co., LTD
    Inventors: Yasuo Murakami, Takahiro Nakahigashi, Jo Takeuchi
  • Patent number: 6652923
    Abstract: An electron-emitting source includes a substrate and a coating film. The substrate is made of a material containing a metal serving as a growth nucleus for nanotube fibers as a main component, and has a plurality of through holes. The coating film is constituted by nanotube fibers formed on a surface of the substrate and wall surfaces of the through holes. A method of manufacturing an electron-emitting source is also disclosed.
    Type: Grant
    Filed: September 12, 2002
    Date of Patent: November 25, 2003
    Assignees: ISE Electronics Corporation, Nihon Shinku Gijutsu Kabushiki Kaisha
    Inventors: Sashiro Uemura, Takeshi Nagasako, Junko Yotani, Hirohiko Murakami
  • Patent number: 6645575
    Abstract: A method is provided for making a blow molded multi-layer container having an upper wall portion, including an opening; an intermediate sidewall portion positioned beneath the upper wall portion; and a base portion positioned beneath the intermediate sidewall portion. The container includes (i) a molded inner layer formed from a plastic material, the inner layer having a vertical length and a carbon-treated inner surface; and (ii) a molded outer layer formed from recycled plastic that is substantially coextensive with the inner layer. The recycled outer layer comprises at least 40% by weight of the overall weight of the container, but can comprise more than 90% by weight. In a preferred embodiment, the thickness of the inner and/or outer layers is controllably adjusted along their respective vertical lengths. If functionally desirable, the inner layer and/or outer layer may also include additional barrier materials and/or oxygen scavenging/reacting materials.
    Type: Grant
    Filed: October 1, 2001
    Date of Patent: November 11, 2003
    Assignee: Plastipak Packaging, Inc.
    Inventor: William A. Slat
  • Patent number: 6632483
    Abstract: The present invention includes a method of forming an aligned film on a substrate. The film is deposited and aligned in a single step by a method comprising the step of bombarding a substrate with an ion beam at a designated incident angle to simultaneously (a) deposit the film onto the substrate and (b) arrange an atomic structure of the film in at least one predetermined aligned direction.
    Type: Grant
    Filed: June 30, 2000
    Date of Patent: October 14, 2003
    Assignee: International Business Machines Corporation
    Inventors: Alessandro Cesare Callegari, Praveen Chaudhari, James Patrick Doyle, Eileen Ann Galligan, Yoshimine Kato, James Andrew Lacey, Shui-Chih Alan Lien, Minhua Lu, Hiroki Nakano, Shuichi Odahara
  • Patent number: 6599584
    Abstract: Methods and systems are provided for making a coated plastic container, such as for packaged beverages, possessing a gas barrier and having enhanced resistance to loss in barrier due to handling abuses expansion of walls of the container. The system comprises a vacuum cell, a coating source in the vacuum cell for supplying a coating vapor to an external surface of a plastic container positioned within the vacuum cell, and gas feeds for supplying one or more process gases into an interior space of the vacuum cell. The coating source heats and evaporates an inorganic coating material, such as metal or silicon, to form a coating vapor, which is energized to form a plasma. The process gases include a carbon-containing gas, such as acetylene.
    Type: Grant
    Filed: April 27, 2001
    Date of Patent: July 29, 2003
    Assignee: The Coca-Cola Company
    Inventors: George Plester, Horst Ehrich
  • Patent number: 6595623
    Abstract: There is provided a plastic base material having a reformed layer 2 formed on a plastic substrate 1 by reforming the surface layer thereof into a component containing fluorine at the ratio of the number of fluorine atoms to the number of carbon atoms, F/C, of 0.85 or more and 1.30 or less, and having highly durable water repellency and ink repellency. The method of manufacturing such a plastic base material comprises a step of reforming the surface of the plastic substrate 1 into a fluorine-containing carbon layer by imparting a specific energy to fluorine-containing plasma by applying an RF bias voltage to the plastic substrate 1 to form a surface having highly durable water repellency and ink repellency. A highly durable ink-jet printer that enables high-quality printing can be provided by the use of a head for an ink-jet printer fabricated by using this plastic base material.
    Type: Grant
    Filed: September 18, 2001
    Date of Patent: July 22, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Koichi Kotera, Hiroyoshi Tanaka, Isamu Inoue, Osamu Watanabe
  • Patent number: 6596339
    Abstract: A method and apparatus for the non-contact in-situ temperature measurement of a material layer during chemical vapor deposition of the material on an underlying substrate are provided. Magnitude modulated UV light having a plurality of separated spectral components is directed at the material being deposited on the substrate. The modulated UV light has a plurality of wavelengths corresponding to different temperature dependencies of absorptance in the deposited material. The separated spectral components are within transparency spectral windows of a plasma media contained in the CVD reactor. A portion of the magnitude modulated UV light is directed as a reference into a comparison device, such as a spectrophotometer. Light reflected from the deposited material is also directed at the comparison device for comparison with the reference light. That is, the magnitudes of the magnitude modulated components of the reflected light and the reference light are compared at more than one spectral component.
    Type: Grant
    Filed: August 21, 2001
    Date of Patent: July 22, 2003
    Assignee: National Semiconductor Corporation
    Inventor: Mikhail Yaroslavsky
  • Patent number: 6582778
    Abstract: Disclosed is a method of treatment with a microwave plasma by maintaining a reduced pressure in a plasma-treating chamber for treatment with a plasma in which a substrate that is to be treated with a microwave plasma is contained, introducing a treating gas into the plasma-treating chamber and introducing microwaves into the plasma-treating chamber, wherein a metallic antenna is disposed in the plasma-treating chamber. The plasma is generated within a very short period of time maintaining stability after the microwaves are introduced into the plasma-treating chamber, and the treatment is accomplished maintaining stability.
    Type: Grant
    Filed: December 21, 2001
    Date of Patent: June 24, 2003
    Assignee: Toyo Seikan Kaisha, Ltd.
    Inventors: Tsunehisa Namiki, Toshihide Ieki, Akira Kobayashi, Koji Yamada, Hideo Kurashima