Inorganic Carbon Containing Coating Material, Not As Steel (e.g., Carbide, Etc.) Patents (Class 427/577)
  • Patent number: 8530051
    Abstract: A high strength gear used as an element of a power transmission mechanism. The gear includes a base gear formed of an iron-based alloy subjected to carburizing or carbonitriding treatment. The base gear has an engaging surface with which an opposite gear is engaged. The engaging surface of the base gear is coated with a first diamond-like carbon film which has a hydrogen content of not more than 10 atomic % and a surface hardness ranging from 8 to 30 GPa in a nano-indentation test. Additionally, at least a part of the first diamond-like carbon film is coated with a second diamond-like carbon film which has a hydrogen content of not more than 10 atomic % and a surface hardness ranging from 50 to 90 GPa in the nano-indentation test. The second diamond-like carbon film has a surface roughness Ra ranging from 0.1 to 0.2 ?m.
    Type: Grant
    Filed: July 26, 2007
    Date of Patent: September 10, 2013
    Assignees: Nissan Motor Co., Ltd., Kabushiki Kaisha Kobe Seiko Sho
    Inventors: Takurou Yamaguchi, Kenji Yamamoto
  • Patent number: 8529781
    Abstract: A method for producing a component, and a component, in particular a micromechanical and/or microfluidic and/or microelectronic component, is provided, the component including at least one patterned material region, and in a first step the patterned material region is produced in that microparticles of a first material are embedded in a matrix of a second material, and in a second step the patterned material region is rendered porous by etching using a dry etching method or a gas-phase etching method.
    Type: Grant
    Filed: May 4, 2007
    Date of Patent: September 10, 2013
    Assignee: Robert Bosch GmbH
    Inventors: Tino Fuchs, Christina Leinenbach
  • Patent number: 8518543
    Abstract: A sliding member is produced by forming hardening layers with two-layered structure on surface of a substrate metal with a Vickers hardness of not more than Hv300, such as aluminum or magnesium alloy for example, and then forming a DLC film having surface roughness defined as maximum height roughness Rz of 1 to 10 ?m further on the hardening layers. The above-described hardening layers are composed of a first hardening layer dispersed with heavy metal particles, preferably made of tungsten and/or tantalum in the substrate metal, and a second hardening layer formed under the first hardening layer.
    Type: Grant
    Filed: February 3, 2009
    Date of Patent: August 27, 2013
    Assignees: FujiWPC Co., Ltd., Fuji Kihan Co., Ltd.
    Inventors: Makoto Kano, Takahiro Horiuchi, Shinichi Takagi, Masao Kumagai, Eiji Shimodaira, Yoshio Miyasaka
  • Patent number: 8501143
    Abstract: A single crystal diamond prepared by CVD and having one or more electronic characteristics; making the diamond suitable for electronic applications. Also provided is a method of making the single crystal CVD diamond.
    Type: Grant
    Filed: October 3, 2008
    Date of Patent: August 6, 2013
    Assignee: Element Six Ltd.
    Inventors: Geoffrey Alan Scarsbrook, Philip Maurice Martineau, John Lloyd Collins, Ricardo Simon Sussmann, Bärbel Susanne Charlotte Dorn, Andrew John Whitehead, Daniel James Twitchen
  • Patent number: 8496992
    Abstract: A method of coating a substrate, with the method comprising: providing a substrate; dispersing nanodiamond powder in a liquid to provide a coating precursor; converting the liquid of the coating precursor to a vapor; introducing the coating precursor to a vapor deposition process; and operating the vapor deposition process to produce a nanocrystalline diamond-containing nanocomposite coating on the substrate, the nanocomposite coating produced using the coating precursor and comprising the nanodiamond particles.
    Type: Grant
    Filed: December 10, 2010
    Date of Patent: July 30, 2013
    Assignee: Southwest Research Institute
    Inventors: Ronghua Wei, Christopher Rincon, Kent E. Coulter
  • Publication number: 20130189448
    Abstract: The present invention provides method of DLC film coating a plastic container by DLC film coating the container in an apparatus, where the apparatus comprises a container side electrode which forms one portion of a pressure-reducing chamber and a facing electrode, where the container side electrode is formed so that the average inner hole diameter (R2) of the inner wall surrounding a neck portion is smaller than the average inner hole diameter (R1) of the inner wall surrounding the body portion, and the average distance (d2) between the outer wall of the container and the inner wall of the container side electrode in a horizontal cross section with respect to the vertical direction of the container at the neck portion becomes longer than the average distance (d1) between the outer wall of the container and the inner wall of the container side electrode.
    Type: Application
    Filed: July 25, 2012
    Publication date: July 25, 2013
    Applicant: KIRIN BEER KABUSHIKI KAISHA
    Inventors: Teruyuki YAMASAKI, Akira SHIRAKURA, Hideyasu ANDO
  • Publication number: 20130189579
    Abstract: A method of treating an electrode for a battery to enhance its performance is disclosed. By depositing a layer of porous carbon onto the electrode, its charging and discharging characteristics, as well as chemical stability may be improved. The method includes creating a plasma that includes carbon and attracting the plasma toward the electrode, such as by biasing a platen on which the electrode is disposed. In some embodiments, an etching process is also performed on the deposited porous carbon to increase its surface area. The electrode may also be exposed to a hydrophilic treatment to improve its interaction with the electrolyte. In addition, a battery which includes at least one electrode treated according to this process is disclosed.
    Type: Application
    Filed: January 25, 2012
    Publication date: July 25, 2013
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Blake L. Darby, Ludovic Godet, Xianfeng Lu, Tristan Yonghui Ma
  • Publication number: 20130187097
    Abstract: The present invention relates to a method for forming graphene at a low temperature, to a method for direct transfer of graphene using same, and to a graphene sheet. The method for forming graphene at a low temperature comprises supplying a carbon-source-containing gas to a metal catalyst layer for graphene growth formed on a substrate, and forming graphene at a low temperature of 500° C. or less by means of inductively coupled plasma-chemical vapor deposition (ICP-CVD).
    Type: Application
    Filed: July 15, 2011
    Publication date: July 25, 2013
    Applicants: SAMSUNG TECHWIN CO., LTD., SUNGKYUNKWAN UNIVERSITY FOUNDATION FOR CORPORATE COLLABORATION
    Inventors: Byung Hee Hong, Jong-Hyun Ahn, Ji Beom Yoo, Su Kang Bae, Myung Hee Jung, Houk Jang, Youngbin Lee, Sang Jin Kim
  • Patent number: 8484843
    Abstract: A method for producing a dispersion-hardened object which contains carbide nanoparticles comprises producing an object by means of a thermal spraying method, wherein downstream of the combustion chamber, the gas flow is supplied by means of a carrier gas with at least one precursor which reacts in the gas flow to form a carbide, or carbide nanoparticles are supplied via an external nanoparticle generator which is subject to a thermal load. It allows the production of a dispersion-hardened object such as, for example, a component for an internal combustion engine, for example a piston ring. The method is carried out by means of a thermal spraying device which, downstream of the combustion chamber, besides at least one line for supplying a thermal spray powder, further comprises at least one line for supplying a precursor by means of a carrier gas.
    Type: Grant
    Filed: January 20, 2009
    Date of Patent: July 16, 2013
    Assignee: Federal-Mogul Burscheid GmbH
    Inventors: Michael Zinnabold, Marc-Manuel Matz, Marcus Kennedy
  • Patent number: 8470434
    Abstract: The invention relates to a transparent glass substrate, associated with a transparent electro-conductive layer capable of constituting an electrode of a photovoltaic cell and composed of a doped oxide, characterized by the interposition, between the glass substrate and the transparent electroconductive layer, of a mixed layer of one or more first nitride(s) or oxynitride(s), or oxide(s) or oxycarbide(s) having good adhesive properties with glass, and one or more second nitride(s) or oxynitride(s) or oxide(s) or oxycarbide(s) capable of constituting, possibly in the doped state, a transparent electroconductive layer; a method for producing this substrate; a photovoltaic cell, a tempered and/or curved glass, a shaped heating glass, a plasma screen and a flat lamp electrode having this substrate.
    Type: Grant
    Filed: January 14, 2008
    Date of Patent: June 25, 2013
    Assignee: Saint-Gobain Glass France
    Inventors: Bernard Nghiem, Emilie Viasnoff, Bertrand Kuhn, David Le Bellac, Anne Durandeau, Fabrice Abbott, Eddy Royer, Georges Zagdoun, Olivier Dubois
  • Publication number: 20130156974
    Abstract: A method of manufacturing a diamond layer having a porous three-dimensional structure, the method being of the type which includes growing the diamond layer from a sacrificial material and gradually decomposing said sacrificial material during growth of the diamond layer, said material including the following steps; 1) provision of a substrate capable of supporting the plasma-enhanced chemical vapour deposition growth of the diamond layer on at least one of the surfaces of of the substrate, the substrate comprising, on said at least one surface thereof, a layer made of a sacrificial material having a porous three-dimensional structure capable of gradually decomposing upon contact with said plasma, the layer of sacrificial material containing diamond grains of nanometric size, and 2) growth by plasma-enhanced chemical vapour deposition of the diamond layer from diamond grains and concomitant and gradual decomposition of the scrificial material upon contact with said plasma.
    Type: Application
    Filed: April 5, 2011
    Publication date: June 20, 2013
    Applicant: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventors: Emmanuel Scorsone, Hugues Girard
  • Publication number: 20130149461
    Abstract: A method for providing electroless plating is provided. An amorphous carbon barrier layer is formed over the low-k dielectric layer by providing a flow a deposition gas, comprising a hydrocarbon, H2, and an oxygen free diluent, forming a plasma from the deposition gas, and stopping the flow of the deposition gas. The amorphous carbon barrier layer is conditioned by providing a flow of a conditioning gas comprising H2 and a diluent, forming a plasma from the conditioning gas, which conditions a top surface of the amorphous carbon barrier layer, and stopping the flow of the conditioning gas. The amorphous carbon barrier layer is functionalized by providing a flow of a functionalizing gas comprising NH3 or H2 and N2, forming a plasma from the functionalizing gas, and stopping the flow of the functionalizing gas. An electroless process is provided to form an electrode over the barrier layer.
    Type: Application
    Filed: December 13, 2011
    Publication date: June 13, 2013
    Applicant: Lam Research Corporation
    Inventors: Yezdi N. DORDI, Richard P. JANEK, Dries DICTUS
  • Patent number: 8460763
    Abstract: A method for composite material synthesis at the surface of a work piece, wherein it includes PECVD, PEPVD methods and plasma generation by electric discharges with closed electron drift. The composite material comprises at least one layer of substantially parallel carbon pillars extending from the bottom surface of the at least one layer up to the top surface of the at least one layer. The carbon pillars have a cross-sectional dimension in the range of 50-200 ?m, and heights in the range of 50 ?m-2 mm.
    Type: Grant
    Filed: February 29, 2008
    Date of Patent: June 11, 2013
    Assignee: Plasmatrix Materials AB
    Inventors: Mihai Nicolescu, Olga Meza, Lars Hemmingsson, Klim Kouznetsov
  • Patent number: 8455059
    Abstract: A method according to the invention comprises: starting plasma discharge for forming the gas barrier layer in a film deposition chamber; and producing the gas barrier layer by using a plasma after a first predetermined period of time has elapsed from a start of the plasma discharge.
    Type: Grant
    Filed: March 17, 2010
    Date of Patent: June 4, 2013
    Assignee: Fujifilm Corporation
    Inventors: Toshiya Takahashi, Kouji Tonohara, Shinsuke Takahashi
  • Publication number: 20130130011
    Abstract: THE PRESENT INVENTION PROVIDES A METHOD FOR PREPARING GRAPHENE BY PROVIDING A REACTION GAS INCLUDING A CARBON SOURCE AND HEAT ONTO A SUBSTRATE, AND REACTING THE SAME TO FORM A GRAPHENE ON THE SUBSTRATE, A GRAPHENE SHEET FORMED BY THE METHOD, AND A DEVICE USING THE SAME.
    Type: Application
    Filed: July 29, 2011
    Publication date: May 23, 2013
    Applicant: SUNGKYUNKWAN UNIVERSITY FPUNDATION FOR CORPORATE COLLABORATION
    Inventors: Byung Hee Hong, Jong-Hyun Ahn, Su Kang Bae, Myung Hee Jung, Hye Ri Kim, Sang Jin Kim
  • Publication number: 20130128462
    Abstract: The disclosed embodiments provide a component for a portable electronic device. The component includes a structural frame within the portable electronic device and an amorphous diamond-like carbon (DLC) coating deposited on the surfaces and the edges of the structural frame, wherein the amorphous DLC coating increases a thermal conductivity of the structural frame.
    Type: Application
    Filed: November 17, 2011
    Publication date: May 23, 2013
    Applicant: APPLE INC.
    Inventors: Stephen P. Zadesky, Fletcher R. Rothkopf, Anna-Katrina Shedletsky
  • Patent number: 8445077
    Abstract: A method of producing a coated member in which a base material surface is at least partially coated with a diamond-like carbon film, the method includes: a diamond-like carbon film deposition process in which a diamond-like carbon film is formed on a surface of the base material by generating plasma by applying voltage to the base material in a processing chamber that stores the base material, while evacuating the processing chamber and introducing feedstock gas that contains at least a carbon compound into the processing chamber; and a hydrogenation process in which the deposited diamond-like carbon film is hydrogenated using hydrogen gas by stopping the voltage application and introducing hydrogen gas inducted instead of the feedstock gas, while the evacuation is being continued.
    Type: Grant
    Filed: May 27, 2011
    Date of Patent: May 21, 2013
    Assignee: JTEKT Corporation
    Inventors: Masahiro Suzuki, Toshiyuki Saito, Kazuyoshi Yamakawa
  • Patent number: 8440269
    Abstract: A method for depositing a thin film for a magnetic recording medium includes the steps of placing a substrate for a recording medium having a magnetic recording layer thereon on a substrate holder rotatably arranged within a film deposition chamber; and supplying a plasma beam from a plasma beam formation portion to the film deposition chamber so as to form a thin film of ta-C on the magnetic recording layer. In supplying the plasma beam, an inclination angle formed by a normal line to a surface of the magnetic recording layer and a plane orthogonal to a direction of incidence of the plasma beam is changed from a minimum inclination angle to a maximum inclination angle according to an increase in film thickness of the ta-C thin film.
    Type: Grant
    Filed: May 18, 2009
    Date of Patent: May 14, 2013
    Assignee: Fuji Electric Co., Ltd.
    Inventors: Katsunori Suzuki, Takeshi Watanabe
  • Patent number: 8414986
    Abstract: A method of forming a cutting element that includes placing at least one cutting element in an inner surface of at least one hollow tubular member such that at least a portion of the at least one cutting element is exposed; generating plasma within the hollow portion of the tubular; and depositing at least one refractory metal or sp3 carbon-containing coating on an exposed surface of the at least one cutting element is disclosed.
    Type: Grant
    Filed: November 6, 2009
    Date of Patent: April 9, 2013
    Assignee: Smith International, Inc.
    Inventor: Madapusi K. Keshavan
  • Patent number: 8409460
    Abstract: An amorphous carbon film forming method is performed by using a parallel plate type plasma CVD apparatus in which an upper electrode and a lower electrode are installed within a processing chamber, and the method includes: disposing a substrate on the lower electrode; supplying carbon monoxide and an inert gas into the processing chamber; decomposing the carbon monoxide by applying a high frequency power to at least the upper electrode and generating plasma; and depositing amorphous carbon on the substrate. It is desirable that the upper electrode is a carbon electrode.
    Type: Grant
    Filed: February 21, 2008
    Date of Patent: April 2, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Hiraku Ishikawa, Tadakazu Murai, Eisuke Morisaki
  • Patent number: 8394197
    Abstract: Enhanced corrosion resistance is achieved in a coating by using a germanium-containing precursor and hollow cathode techniques to form a first layer directly on the surface of a workpiece, prior to forming an outer layer, such as a layer of diamond-like carbon (DLC). The use of a germanium or germanium-carbide precursor reduces film stress and enables an increase in the thickness of the subsequently formed DLC. Germanium incorporation also reduces the porosity of the layer. In one embodiment, a cap layer containing germanium is added after the DLC in order to further reduce the susceptibility of the coating to chemical penetration from the top.
    Type: Grant
    Filed: July 11, 2008
    Date of Patent: March 12, 2013
    Assignee: Sub-One Technology, Inc.
    Inventors: Andrew W. Tudhope, Thomas B. Casserly, Karthik Boinapally, Deepak Upadhyaya, William J. Boardman
  • Publication number: 20130045339
    Abstract: Techniques for diamond nucleation control for thin film processing are disclosed. In one particular embodiment, the techniques may be realized as a method for generating a plasma having a plurality of ions; depositing a plurality of diamond nucleation centers on a substrate with the ions in the plasma using an extraction plate having at least one gap, wherein the plasma ions pass through the at least one gap in the extraction plate to generate a focused ion beam to deposit the plurality of diamond nucleation centers; and controlling the growth of a continuous diamond film from the diamond nucleation centers on the substrate by controlling at least one of a temperature around the substrate, a temperature of the plasma, a pressure around the substrate, and a concentration of the ions in the plasma.
    Type: Application
    Filed: August 15, 2011
    Publication date: February 21, 2013
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Ludovic Godet, Xianfeng Lu, Anthony Renau
  • Patent number: 8378357
    Abstract: The present invention relates to a multilayered structure including at least one diamond layer and methods of making the multilayered structures. The multilayered structure includes a diamond layer having a top surface and a bottom surface, and when desired at least one metal filled via in the diamond layer, a first thin adhesion layer on the top surface, a second thin adhesion layer on the bottom surface, a first metal layer having a thermal conductivity greater than 200 W/m-K and a coefficient of thermal expansion greater than 12 ppm/K, wherein the first metal layer is deposited on the first thin adhesion layer, and a second metal layer having a thermal conductivity greater than 200 W/m-K and a coefficient of thermal expansion greater than 12 ppm/K, wherein the second metal layer is deposited on the second thin adhesion layer.
    Type: Grant
    Filed: April 1, 2012
    Date of Patent: February 19, 2013
    Assignee: SP3, Inc.
    Inventors: Jerry Wayne Zimmer, Gerard James Chandler
  • Publication number: 20130040168
    Abstract: A magnetic recording medium is disclosed which has excellent corrosion resistance, even with a protective layer of thickness 2 nm or less. The magnetic recording medium includes, on a substrate, a magnetic layer and a carbon-based protective layer. The thickness of the carbon-based protective layer is 2 nm or less, and the contact angle of water on a surface of the carbon-based protective layer is 25° or greater and less than 60°.
    Type: Application
    Filed: August 8, 2012
    Publication date: February 14, 2013
    Applicant: FUJI ELECTRIC CO., LTD.
    Inventor: Narumi SATO
  • Patent number: 8367207
    Abstract: The invention relates to a hydrogenated amorphous carbon coating and to a method for the production thereof. It also relates to devices having such a coating. The method of the invention consists in producing a hydrogenated amorphous carbon coating comprising at least two layers of hydrogenated amorphous carbon, each of said layers having chemical compositions and physical and mechanical properties that are identical, and with thicknesses that are identical or different. The coating of the invention finds many applications, in particular in the mechanical field for parts subject to considerable wear and rubbing problems. It may also be applicable, in particular, in the field of surgical implants and in the MEMS (microelectromechanical systems) field.
    Type: Grant
    Filed: October 20, 2008
    Date of Patent: February 5, 2013
    Assignees: Commissariat a l'Energie Atomique et aux Energies Alternative, Centre National de la Recherche Scientifique
    Inventors: Caroline Chouquet, Cédric Ducros, Frédéric Sanchette
  • Patent number: 8367166
    Abstract: In some embodiments, the present invention is directed to methods for synthesizing higher diamondoids, wherein said methods involve augmenting existing diamondoid molecules through the bonding of carbon atoms to such existing diamondoid species with intramolecular cross-linking so as to form larger diamondoids containing face-fused diamond-crystal (adamantane) cages with carbon frameworks superimposable on the cubic-diamond crystal lattice.
    Type: Grant
    Filed: October 29, 2009
    Date of Patent: February 5, 2013
    Assignees: Chevron U.S.A. Inc., The Board of Trustees of the Leland Stanford Junior University
    Inventors: Jeremy E. Dahl, J. Michael Moldowan, Michael A. Kelly, Robert M. Carlson
  • Publication number: 20120328884
    Abstract: A method for fabricating a carbon-based composite material includes: (a) forming over a substrate a seeding layer that includes amorphous carbon matrix, and a plurality of ultra-nanocrystalline diamond grains; and (b) growing crystal grains over the seeding layer under a hybrid plasma to obtain the carbon-based composite material. The hybrid plasma is produced by ionization of a gas mixture. The gas mixture includes a hydrocarbon gas, H2, and an inert gas in a volume ratio of 1:(99?x):x based on 100 parts of the total volume of the gas mixture, and x satisfies 45<x<55. The hydrocarbon gas is selected from CH4, C2H2, and a combination thereof.
    Type: Application
    Filed: January 24, 2012
    Publication date: December 27, 2012
    Applicant: TAMKANG UNIVERSITY
    Inventor: I-Nan Lin
  • Publication number: 20120328798
    Abstract: A low-permittivity interlayer insulating film of the present invention is formed by a plasma CVD method and includes at least carbon and silicon, wherein a ratio of the carbon to the silicon is 2.5 or more, and relative permittivity is 3.8 or less. Also, a film formation method of a low-permittivity interlayer insulating film of the present invention includes forming a film of an insulating film material that includes at least carbon and silicon by a plasma CVD method, wherein a hydrocarbon is not used as the insulating film material, and a ratio of the carbon to the silicon is 2.5 or more, and relative permittivity is 3.8 or less in the formed low-permittivity interlayer insulating film.
    Type: Application
    Filed: February 25, 2011
    Publication date: December 27, 2012
    Inventors: Hideharu Shimizu, Shuji Nagano, Yoshi Ohashi, Takeshi Kada, Hisakatsu Sugawara
  • Publication number: 20120318228
    Abstract: A cylinder liner (20) comprises a substrate (24) and a germanium containing coating (22), typically a diamond-like carbon (DLC) coating applied by a vapor deposition technique. The coating (22) may include a base layer (36), an intermediate layer (38), and a protective layer (40), each having a graded composition. The base layer (36) comprises, in weight percent (wt. %) of the base layer (36), 50.0 to 70.0 wt. % carbon, 30.0 to 50.0 wt. % silicon, and not greater than 20.0 wt. % germanium. The intermediate layer (38) comprises, in weight percent (wt. %) of the intermediate layer (38), 40.0 to 60.0 wt. % carbon, 15.0 to 35.0 wt. % silicon, and 15.0 to 35.0 wt. % germanium. The protective layer (40) includes, in weight percent (wt. %) of the protective layer (38), at least 90.0 wt. % carbon.
    Type: Application
    Filed: June 11, 2012
    Publication date: December 20, 2012
    Inventor: Robert R. Aharonov
  • Patent number: 8333948
    Abstract: Provided are aligned carbon nanotubes for a fuel cell having a large surface area, a nanocomposite that includes the aligned carbon nanotubes loaded with highly dispersed nanoparticles of a metallic catalyst, methods of producing the carbon nanotubes and the nanocomposite, and a fuel cell including the nanocomposite. In the nanocomposite, nanoparticles of the metallic catalyst are uniformly distributed on external walls of the nanotubes. A fuel cell including the nanocomposite exhibits better performance.
    Type: Grant
    Filed: October 6, 2005
    Date of Patent: December 18, 2012
    Assignee: The Regents of the University of California
    Inventors: Chan-Ho Pak, Hyuk Chang, Sungho Jin, Xiang-Rong Ye, Li-Han Chen
  • Patent number: 8334028
    Abstract: A method of forming a protective film for a magnetic recording medium is disclosed. The protective film suppresses cobalt elution out of the magnetic recording layer and has a thickness not larger than 3 nm. The method of the invention of forming a protective film for a magnetic recording medium comprises (1) a step of forming a protective film, on a lamination including a substrate and metallic film layers formed on the substrate, by means of a plasma CVD method using a raw gas of a hydrocarbon gas, wherein a flow rate of the hydrocarbon gas is in a range of 50 sccm to 200 sccm and a emission current is in a range of 0.1 A to 0.3 A, and (2) a step of surface treatment on the protective film that has been formed in the step (1), including sub-steps of (2a) a plasma treatment in an argon gas and (2b) a plasma treatment in a gas containing a nitrogen gas.
    Type: Grant
    Filed: December 22, 2009
    Date of Patent: December 18, 2012
    Assignee: Fuji Electric Co., Ltd.
    Inventors: Naruhisa Nagata, Ryoji Kobayashi, Masaki Miyazato
  • Patent number: 8334027
    Abstract: In the method for depositing a material in the absence of a positive column, a discharge is generated between a cathode and an anode disposed to face each other in a reaction chamber by applying a DC voltage therebetween, and introducing reaction gas into the reaction chamber, thereby depositing a material on a substrate mounted on the anode and serving as a part of the anode, wherein the deposition of the material on the substrate is performed under a state that a cathode glow and an anode glow exist in a form of thin layers coating respectively the surfaces of the cathode and the substrate, while a positive column does not exist or is so small as to be negligible.
    Type: Grant
    Filed: August 3, 2007
    Date of Patent: December 18, 2012
    Assignee: Korea Institute of Science and Technology
    Inventors: Wook-Seong Lee, Young-Joon Baik, Jeung-Hyun Jeong, Ki-Woong Chae
  • Publication number: 20120301706
    Abstract: A method of depositing a SiNxCy liner on a porous low thermal conductivity (low-k) substrate by plasma-enhanced atomic layer deposition (PE-ALD), which includes forming a SiNxCy liner on a surface of a low-k substrate having pores on a surface thereon, in which the low-k substrate is repeatedly exposed to a aminosilane-based precursor and a plasma selected from nitrogen, hydrogen, oxygen, helium, and combinations thereof until a thickness of the liner is obtained, and wherein the liner is prevented from penetrating inside the pores of a surface of the substrate. A porous low thermal conductivity substrate having a SiNxCy liner formed thereon by the method is also disclosed.
    Type: Application
    Filed: August 7, 2012
    Publication date: November 29, 2012
    Applicant: International Business Machines Corporation
    Inventors: Andrew J. Kellock, Hyungjun Kim, Dae-Gyu Park, Satyanarayana V. Nitta, Sampath Purushothaman, Stephen Rossnagel, Oscar Van Der Straten
  • Patent number: 8318268
    Abstract: There is provided a fabrication method for an AA stacked graphene-diamond hybrid material by converting, through a high temperature treatment on diamond, a diamond surface into graphene. According to the present invention, if various types of diamond are maintained at a certain temperature having a stable graphene phase (approximately greater than 1200° C.) in a hydrogen gas atmosphere, two diamond {111} lattice planes are converted into one graphene plate (2:1 conversion), whereby the diamond surface is converted into graphene in a certain thickness, thus to fabricate the AA stacked graphene-diamond hybrid material.
    Type: Grant
    Filed: December 12, 2011
    Date of Patent: November 27, 2012
    Assignee: Korea Institute of Science and Technology
    Inventors: Jae-Kap Lee, So-Hyung Lee, Seung-Cheol Lee, Jae-Pyoung Ahn, Jeon-Kook Lee, Wook-Seong Lee
  • Publication number: 20120295119
    Abstract: A method of making a coated metal article comprises (a) forming a hardcoat layer on at least a portion of a surface of a metal or metalized substrate by physical vapor deposition; (b) forming a tie layer comprising silicon, oxygen, and hydrogen on at least a portion of the surface of the hardcoat layer by plasma deposition; and (c) applying an at least partially fluorinated composition comprising at least one silane group to at least a portion of the surface of the tie layer.
    Type: Application
    Filed: September 17, 2010
    Publication date: November 22, 2012
    Inventor: Moses M. David
  • Publication number: 20120292500
    Abstract: A mass spectrometer includes an ion source, which includes a coating or surface formed of a metallic carbide, a metallic boride, a ceramic or DLC, or an ion-implanted transition metal.
    Type: Application
    Filed: November 11, 2011
    Publication date: November 22, 2012
    Applicant: MICROMASS UK LIMITED
    Inventors: Gordon A. Jones, David S. Douce, Amir Farooq
  • Publication number: 20120282418
    Abstract: A method for forming an SiCN film on target substrates placed in a process field inside a process container repeats a unit cycle a plurality of times to laminate thin films respectively formed, thereby forming the SiCN film with a predetermined thickness. The unit cycle includes performing and suspending supply of a silicon source gas, a nitriding gas, and a carbon hydride gas respectively from first, second, and third gas distribution nozzles to the process field. The unit cycle does not turn any one of the gases into plasma but heats the process field to a set temperature of 300 to 700° C. with the supply of the carbon hydride gas performed for a time period in total longer than that of the supply of the silicon source gas, so as to provide the SiCN film with a carbon concentration of 15.2% to 28.5%.
    Type: Application
    Filed: July 19, 2012
    Publication date: November 8, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Pao-Hwa CHOU, Kazuhide Hasebe
  • Publication number: 20120262809
    Abstract: A monolithic optical absorber and methods of making same. The monolithic optical absorber uses an array of mutually aligned carbon nanotubes that are grown using a PECVD growth process and a structure that includes a conductive substrate, a refractory template layer and a nucleation layer. Monolithic optical absorbers made according to the described structure and method exhibit high absorptivity, high site densities (greater than 109 nanotubes/cm2), very low reflectivity (below 1%), and high thermal stability in air (up to at least 400° C.). The PECVD process allows the application of such absorbers in a wide variety of end uses.
    Type: Application
    Filed: December 13, 2011
    Publication date: October 18, 2012
    Applicant: California Institute of Technology
    Inventors: Anupama B. Kaul, James B. Coles
  • Publication number: 20120258261
    Abstract: A method for depositing a film includes arranging a substrate in a plasma enhanced chemical vapor deposition chamber. A first ashable hardmask (AHM) layer that is carbon-based is deposited on the substrate. During the depositing of the first AHM layer, doping is performed with at least one dopant selected from a group consisting of silicon, silane, boron, nitrogen, germanium, carbon, ammonia, and carbon dioxide. An atomic percentage of the at least one dopant is greater than or equal to 5% of the first AHM layer.
    Type: Application
    Filed: April 10, 2012
    Publication date: October 11, 2012
    Applicant: Novellus Systems, Inc.
    Inventors: Sirish Reddy, Alice Hollister, Pramod Subramonium, Jon Henri, Chunhai Ji, Zhi Yuan Fang
  • Publication number: 20120237782
    Abstract: A carbon coated aluminum foil as a cathode of solid aluminum electrolytic capacitors and a manufacturing method thereof are revealed. A surface of an aluminum foil is hit by ions turned into a rough surface. Then carbon atoms are mounted into the surface of the aluminum foil and accumulated sequentially to form a carbon film on the surface of the aluminum foil. Thus the carbon atoms are attached to the surface of the aluminum foil firmly due to the roughness of the surface. Moreover, the carbon film has good adhesion and electrical conductivity. Therefore, not only mechanical strength of the aluminum foil is increased dramatically, the electrical conductivity, capacitance ratio, power density and use life of capacitors are also improved significantly.
    Type: Application
    Filed: March 14, 2011
    Publication date: September 20, 2012
    Inventor: HUNG-WEN TSAI
  • Patent number: 8268411
    Abstract: A method of forming a porous composite material in which substantially all of the pores within the composite material are small having a diameter of about 5 nm or less and with a narrow PSD is provided. The porous composite material includes a first solid phase having a first characteristic dimension and a second phase comprised of pores having a second characteristic dimension, wherein the characteristic dimensions of at least one of said phases is controlled to a value of about 5 nm or less.
    Type: Grant
    Filed: August 8, 2009
    Date of Patent: September 18, 2012
    Assignee: International Business Machines Corporation
    Inventors: Stephen M. Gates, Alfred Grill, Deborah A. Neumayer, Son Nguyen, Vishnubhai V. Patel
  • Publication number: 20120231374
    Abstract: A bipolar plate for a fuel cell comprises a substrate formed of stainless steel; an oriented amorphous carbon film formed at least on a surface of the substrate facing an electrode, and containing C as a main component, 3 to 20 at. % of N, and more than 0 at. % and not more than 20 at. % of H, and when the total amount of the C is taken as 100 at. %, the amount of C having an sp2 hybrid orbital (Csp2) being not less than 70 at. % and less than 100 at. %, and (002) planes of graphite being oriented along a thickness direction; a mixed layer generated in an interface between the substrate and the oriented amorphous carbon film and containing at least one kind of constituent atoms of each of the substrate and the oriented amorphous carbon film; and a plurality of projections protruding from the mixed layer into the oriented amorphous carbon film and having a mean length of 10 to 150 nm.
    Type: Application
    Filed: December 24, 2010
    Publication date: September 13, 2012
    Applicant: Toyota Jidosha Kabushiki Kaisha
    Inventors: Takashi Iseki, Kazuyuki Nakanishi, Yasuhiro Ozawa, Yuka Yamada, Hajime Hasegawa, Masafumi Koizumi, Katsutoshi Fujisawa, Naoki Ueda, Hirohiko Hisano
  • Patent number: 8252699
    Abstract: A method and apparatus for forming an amorphous carbon layer on a substrate is provided. A first portion of the amorphous carbon layer having a high stress level is formed from a hydrocarbon precursor having high dilution ratio, with optional amine precursor included to add stress-elevating nitrogen. A second portion of the amorphous carbon layer having a low stress level is formed on the first portion by reducing the dilution ratio of the hydrocarbon precursor and lowering or eliminating the amine gas. Pressure, temperature, and RF power input may be adjusted instead of, or in addition to, precursor flow rates, and different precursors may be used for different stress levels.
    Type: Grant
    Filed: November 22, 2010
    Date of Patent: August 28, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Anthony Konecni, Josephine Juhwei Liu, Deenesh Padhi, Bok Hoen Kim, William H. McClintock
  • Patent number: 8252388
    Abstract: A method of performing plasma immersion ion processing (PIIP), particularly suited for processing three-dimensional objects. One or more such objects are placed in a conductive cage having solid or mesh walls. The cage completely encloses the objects. A voltage is applied to the cage, and the plasma is generated, resulting in the plasma being contained within the cage.
    Type: Grant
    Filed: May 15, 2008
    Date of Patent: August 28, 2012
    Assignee: Southwest Research Institute
    Inventors: Ronghua Wei, Chris Rincon, Edward Langa
  • Publication number: 20120199553
    Abstract: Problem To provide a carbon film and a laminate having optical characteristics of retaining high transparency, having high refraction index and less double refractivity, being excellent in electric insulating property, being capable of being coated at good adhesion to various substrates, and being capable of being formed at a low temperature, and applications thereof. Means for Solving the Problem The invention relates to a carbon film which has an approximate spectrum curve obtainable by superimposing, on a peak fitting curve A at a Bragg's angle (2?±0.3°) of 43.9°, a peak fitting curve B at 41.7° and a base line in an X-ray diffraction spectrum by a CuKa1 ray, and has a film thickness of from 2 mm to 100 ?m. The intensity of the fitting curve B relative to the intensity of the fitting curve A is preferably from 5 to 90% in the approximated spectrum described above.
    Type: Application
    Filed: July 15, 2011
    Publication date: August 9, 2012
    Inventors: Yoshinori Koga, Masataka Hasegawa, Sumio Iijima, Kazuo Tsugawa, Masatou Ishihara
  • Publication number: 20120183887
    Abstract: The oriented amorphous carbon film contains C as a main component, 3 to 20 at. % of N, and more than 0 at. % and not more than 20 at. % of H, and when the total amount of the C is taken as 100 at. %, the amount of C having an sp2 hybrid orbital (Csp2) being not less than 70 at. % and less than 100 at. %, and (002) planes of graphite being oriented along a thickness direction. This film has a novel structure and exhibits a high electric conductivity. This film can be formed by DC plasma CVD method in which an electric discharge is generated by applying a voltage of not less than 1500 V to reaction gas including at least one kind of compound gas selected from gas of a carbocyclic compound containing Csp2 and gas of an N-containing heterocyclic compound containing Csp2, and nitrogen and/or silicon, and nitrogen gas.
    Type: Application
    Filed: December 24, 2010
    Publication date: July 19, 2012
    Applicant: KABUSHIKI KAISHA TOYOTA CHUO KENKYUSHO
    Inventors: Takashi Iseki, Yuka Yamada, Kazuyuki Nakanishi, Yasuhiro Ozawa, Shingo Ohta
  • Patent number: 8221853
    Abstract: A method for forming a graphitic tin-carbon composite at low temperatures is described. The method involves using microwave radiation to produce a neutral gas plasma in a reactor cell. At least one organo tin precursor material in the reactor cell forms a tin-carbon film on a supporting substrate disposed in the cell under influence of the plasma. The three dimensional carbon matrix material with embedded tin nanoparticles can be used as an electrode in lithium-ion batteries.
    Type: Grant
    Filed: August 28, 2009
    Date of Patent: July 17, 2012
    Assignee: The Regents of the University of California
    Inventors: Marek Marcinek, Robert Kostecki
  • Patent number: 8221823
    Abstract: A diamond-like carbon film (DLC film) is formed on the surface of a base material made of an inorganic material, such as ceramics, or the like, or an organic material, such as resin, or the like. The surface of the resultant DLC film is treated with plasma, or the like, so as to be activated. Various monomers having biocompatibility, etc., are graft-polymerized to the activated surface of the DLC film, whereby a polymer layer is formed from the monomers grafted to the surface of the DLC film. Thus, the base material coated with the DLC film modified with a polymer which does not readily separate can be realized.
    Type: Grant
    Filed: February 1, 2010
    Date of Patent: July 17, 2012
    Assignee: Toyo Advanced Technologies Co., Ltd.
    Inventors: Yoshinori Abe, Tatsuyuki Nakatani, Keishi Okamoto, Kohei Shiraishi, Kazuo Sugiyama
  • Publication number: 20120156424
    Abstract: A nanosheet includes a 2H—SiC layer having a first surface and a second surface, the first and second surfaces being opposed to each other; a first graphene layer formed of 1-10 graphenes being disposed on the first surface; and a second graphene layer formed of 1-10 graphenes being disposed on the second surface.
    Type: Application
    Filed: December 15, 2010
    Publication date: June 21, 2012
    Applicant: Academia Sinica
    Inventors: Kuei-hsien Chen, Ming-Shien Hu, Chun-Chiang Kuo, Li-chyong Chen
  • Publication number: 20120148762
    Abstract: The present disclosure relates to a method of coating a substrate, with the method comprising: providing a substrate; dispersing nanodiamond powder in a liquid to provide a coating precursor; converting the liquid of the coating precursor to a vapor; introducing the coating precursor to a vapor deposition process; and operating the vapor deposition process to produce a nanocrystalline diamond-containing nanocomposite coating on the substrate, the nanocomposite coating produced using the coating precursor and comprising the nanodiamond particles.
    Type: Application
    Filed: December 10, 2010
    Publication date: June 14, 2012
    Applicant: SOUTHWEST RESEARCH INSTITUTE
    Inventors: Ronghua Wei, Christopher Rincon, Kent E. Coulter