Inorganic Carbon Containing Coating Material, Not As Steel (e.g., Carbide, Etc.) Patents (Class 427/577)
  • Publication number: 20110046026
    Abstract: A method of making at least one nanographene layer is disclosed herein. The method includes selecting X hydrocarbon precursor and Y hydrogen gas (H2) such that a ratio of X/Y ranges from 0.5 to 1, the hydrocarbon precursor including at least one of CH4, C2H2 or C3H8. The method further includes submitting the hydrocarbon precursor to chemical vapor deposition using the hydrogen gas and argon gas (Ar). As a result, i) the hydrocarbon precursor reacts with the hydrogen gas and argon gas (Ar) according to the following reaction: X hydrocarbon precursor+YH2+ZAr?2X graphene+(Y+2X)H2+ZAr, where Z ranges from 5*(X+Y) to 10*(X+Y), and ii) the hydrocarbon precursor decomposes and self-assembles to form the at least one nanographene layer.
    Type: Application
    Filed: August 18, 2009
    Publication date: February 24, 2011
    Applicant: GM GLOBAL TECHNOLOGY OPERATIONS, INC.
    Inventors: Xingcheng Xiao, Jean M. Dasch, Simon Chin-Yu Tung, Anil K. Sachdev
  • Patent number: 7887889
    Abstract: The application discloses articles and methods of plasma fluorination treatment that employ a capacitively-coupled system to fluorinate porous articles. The methods include placing the article to be treated within an ion sheath adjacent to an electrode and placing the article to be treated between powered and grounded electrodes separated by about 25 mm or less.
    Type: Grant
    Filed: November 13, 2002
    Date of Patent: February 15, 2011
    Assignee: 3M Innovative Properties Company
    Inventors: Moses M. David, Gina M. Buccellato, John S. Huberty, Seth M. Kirk
  • Patent number: 7887628
    Abstract: A layer of single crystal CVD diamond of high quality having a thickness greater than 2 mm. Also provided is a method of producing such a CVD diamond layer.
    Type: Grant
    Filed: March 5, 2007
    Date of Patent: February 15, 2011
    Assignee: Element Six Technologies (Pty) Ltd
    Inventors: Geoffrey Alan Scarsbrook, Philip Maurice Martineau, Barbel Susanne Charlotte Dorn, Michael Andrew Cooper, John Lloyd Collins, Andrew John Whitehead, Daniel James Twitchen, Ricardo Simon Sussman
  • Publication number: 20110033365
    Abstract: This invention provides a process and apparatus for producing a carbonaceous film such as a DLC film using a solid raw material without the need to supply a high energy radiation such as a laser beam. The process comprises providing a solid organic material as a raw material, applying a discharge energy to the material to form plasma, and depositing the plasma onto a base material to form a carbonaceous film. This process is preferably carried out by using a film production apparatus (1) comprising discharge means (10). The discharge means (10) comprises a pair of electrodes (a raw material holder) (12, 14) for holding a raw material (50) and voltage applying means (20) for applying voltage across the electrodes.
    Type: Application
    Filed: December 7, 2007
    Publication date: February 10, 2011
    Applicants: NATIONAL UNIVERSITY CORPORATION NAGOYA UNIVERSITY, THE UNIVERSITY OF TOKYO
    Inventors: Hiroyuki Kousaka, Hiroyuki Koizumi, Eri Hamajima, Noritsugu Umehara, Yoshihiro Arakawa
  • Publication number: 20110026187
    Abstract: The present invention provides an improved electrostatic chuck for a substrate processing system. The electrostatic chuck comprising a main body having a top surface configured to support the substrate, a power supply to apply a voltage to the main body and a sealing ring disposed between the main body and the substrate wherein the sealing ring has a conductive layer.
    Type: Application
    Filed: January 19, 2010
    Publication date: February 3, 2011
    Inventor: Glyn J. Reynolds
  • Patent number: 7879412
    Abstract: A diamond thin film coating method is provided that enables, with no need for an intermediate layer, the formation of a diamond thin film, which has conventionally been considered difficult because cobalt contained in a binding phase of a cemented carbide provides a catalysis for the formation of graphite. Cobalt in a binding phase (11) present in a surface of a cemented carbide substrate member comprised of a hard phase of a carbide (2) and a binding phase (1) containing cobalt, is silicidated into silicide (3), and thereafter the diamond thin film is formed.
    Type: Grant
    Filed: June 10, 2005
    Date of Patent: February 1, 2011
    Assignees: The University of Electro-Communications, Campus Create Co., Ltd.
    Inventor: Hideo Isshiki
  • Patent number: 7875323
    Abstract: In a method of manufacturing a substrate and a method of manufacturing a liquid crystal display panel, a conductive is formed on a base substrate, and a buffer layer is formed on the base substrate having the conductive layer. The buffer layer includes a polymer-like carbon thin film. An alignment layer is formed on the buffer layer. The alignment layer includes a diamond-like carbon thin film containing fluorine. A content of hydrogen in the polymer-like carbon thin film is more than that in the diamond-like carbon thin film.
    Type: Grant
    Filed: July 21, 2008
    Date of Patent: January 25, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Soon-Joon Rho, Hong-Koo Baik
  • Patent number: 7875315
    Abstract: This disclosure relates generally to polymeric networks of fullerene compounds, to methods of preparing precursors for such networks, and to their subsequent use as low dielectric constant materials in microelectronic devices.
    Type: Grant
    Filed: May 31, 2007
    Date of Patent: January 25, 2011
    Assignee: Roskilde Semiconductor LLC
    Inventor: Michael Raymond Ayers
  • Patent number: 7867579
    Abstract: The present invention provides a method for forming a carbon protective film and a method for producing a magnetic recording medium, that decreases the generation of particles in a plasma CVD apparatus thereby improving flatness of the surface of a carbon protective film, and also can manufacture a magnetic recording medium having high recording density and excellent recording/reproducing characteristics; a magnetic recording medium; and a magnetic recording/reproducing apparatus using the magnetic recording medium.
    Type: Grant
    Filed: January 10, 2008
    Date of Patent: January 11, 2011
    Assignee: Showa Denko K.K.
    Inventor: Hiroyuki Machida
  • Publication number: 20100323276
    Abstract: A flow field plate having a low resistance coating for fuel cell applications is described. In one embodiment, the flow field plate includes a metal plate having a first surface and a second surface, the first surface defining a plurality of channels for directing flow of a first gaseous composition; and an activated carbon coating disposed adjacent to at least a portion of the plate, the activated carbon coating having a surface resistance of less than about 20 m?·cm2, the surface resistance being stable. Fuel cells incorporating the flow field plates and methods of making the flow field plates are also described.
    Type: Application
    Filed: August 23, 2010
    Publication date: December 23, 2010
    Applicant: GM GLOBAL TECHNOLOGY OPERATIONS, INC.
    Inventors: Gayatri Vyas Dadheech, Mahmoud H. Abd Elhamid
  • Publication number: 20100310863
    Abstract: A transparent electroconductive film includes a transparent substrate, at least one transparent electroconductive oxide layer deposited on the transparent substrate, and a plurality of hydrogen-containing carbon layers deposited on the transparent electroconductive oxide layer. At least one of the transparent electroconductive oxide layers contains zinc oxide. The hydrogen-containing carbon layers may be more than one, in which at least one of the hydrogen-containing carbon layers has a refractive index of 1.25 to 1.85. More preferably, the transparent electroconductive film satisfies a relationship of T1/T0?1.02 for light having a wavelength of 550 nm where T0 represents a light transmittance of the transparent substrate on which the at least one transparent electroconductive oxide layer is deposited and T1 represents a light transmittance of the transparent substrate on which the at least one transparent electroconductive oxide layer and the plurality of hydrogen-containing carbon layers are deposited.
    Type: Application
    Filed: November 27, 2008
    Publication date: December 9, 2010
    Applicant: Kaneka Corporation
    Inventors: Takashi Kuchiyama, Kenji Yamamoto, Mitsuru Ichikawa, Kozo Kondo
  • Patent number: 7846512
    Abstract: A method for producing patterns in a polymer layer. Polymer sites are formed on a support. These sites are subjected to a plasma deposition of dielectric material and preferably react with this plasma so as to form openings at the level of said sites. A pattern structure is then formed in the dielectric material and/or in the polymer.
    Type: Grant
    Filed: April 30, 2008
    Date of Patent: December 7, 2010
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Frédéric-Xavier Gaillard, Laurent Vandroux
  • Publication number: 20100304047
    Abstract: This invention discloses the method of forming silicon nitride, silicon oxynitride, silicon oxide, carbon-doped silicon nitride, carbon-doped silicon oxide and carbon-doped oxynitride films at low deposition temperatures. The silicon containing precursors used for the deposition are monochlorosilane (MCS) and monochloroalkylsilanes. The method is preferably carried out by using plasma enhanced atomic layer deposition, plasma enhanced chemical vapor deposition, and plasma enhanced cyclic chemical vapor deposition.
    Type: Application
    Filed: June 2, 2009
    Publication date: December 2, 2010
    Applicants: Air Products and Chemicals, Inc., Tokyo Electron Limited
    Inventors: Liu Yang, Xinjian Lei, Bing Han, Manchao Xiao, Eugene Joseph Karwacki, JR., Kazuhide Hasebe, Masanobu Matsunaga, Masato Yonezawa, Hansong Cheng
  • Patent number: 7842356
    Abstract: Substrate processing methods involve forming an insulating film of amorphous carbon on a substrate by supplying acetylene gas and hydrogen gas with a volume ratio of 4:3 to 4:1, or alternatively, butyne gas, into a process vessel in which the substrate is accommodated. The methods further involve generating a plasma inside of the process vessel by emitting a microwave. The pressure inside of the process vessel is maintained to be 4.0 Pa or less and the substrate is maintained to be 200° C. or less while the insulating film is formed.
    Type: Grant
    Filed: June 15, 2006
    Date of Patent: November 30, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Hiraku Ishikawa
  • Publication number: 20100297435
    Abstract: Nanotubes and related nanofabrication processes are described where wafer-scale approaches have been developed. The described processes can be used to produce single, vertically aligned tubes integrated into 3D nano-scale architectures. Moreover, fabrication processes to generate 3D nanoarchitectures are also described.
    Type: Application
    Filed: January 26, 2010
    Publication date: November 25, 2010
    Inventors: Anupama B. KAUL, Krikor G. Megerian, Paul A. Von Allmen, Richard L. Baron
  • Publication number: 20100291320
    Abstract: When treating workpiece or substrate surfaces with the help of a vacuum plasma discharge between an anode and an cathode and whereby due to such treatment a solid is formed and deposited on the anode surface, which solid has a higher specific DC impedance than the specific DC impedance of the anode material, at least parts of the anode surface are shielded from such deposition by establishing thereat a shielding plasma.
    Type: Application
    Filed: November 1, 2007
    Publication date: November 18, 2010
    Applicant: OERLIKON TRADING AG, TRUBBACH
    Inventors: Juergen Ramm, Beno Widrig, Denis Kurapov
  • Patent number: 7833626
    Abstract: An amorphous carbon film includes carbon as a major component, and silicon in an amount of from 0.1 atomic % or more to 10 atomic % or less when the entire amorphous carbon film is taken as 100 atomic %. The carbon is composed of carbon having an sp2 hybrid orbital in an amount of from 60 atomic % or more to 90 atomic % or less when the entire carbon amount is taken as 100 atomic %. Also disclosed is a process for producing the amorphous carbon film.
    Type: Grant
    Filed: November 24, 2005
    Date of Patent: November 16, 2010
    Assignee: Kabushiki Kaisha Toyota Chuo Kenkyusho
    Inventors: Takashi Iseki, Hideo Tachikawa, Hiroyuki Mori, Kazuyuki Nakanishi, Munehisa Matsui, Shintaro Igarashi, Fumio Shimizu, Yoshinari Tsuchiya, Tadashi Oshima
  • Patent number: 7824159
    Abstract: What disclosed is to form an abrasive coating having abrasiveness at a blade pressure side of a deposition layer using a second electrode composed of a second molded body molded from a mixed powder including a powder of a metal and a powder of a ceramic or the second electrode processed with a heat treatment, generating pulsing electric discharges between the blade pressure side of the deposition layer and the second electrode in an electrically insulating liquid or gas, and welding a material of the second electrode or a reacting substance of the material of the second electrode on the blade pressure side of the deposition layer by means of energy of the electric discharges.
    Type: Grant
    Filed: January 14, 2005
    Date of Patent: November 2, 2010
    Assignees: Ishikawajima-Harima Heavy Industries Co., Ltd., Mitsubishi Denki Kabushiki Kaisha
    Inventors: Hiroyuki Ochiai, Mitsutoshi Watanabe, Akihiro Goto, Masao Akiyoshi
  • Patent number: 7824733
    Abstract: A process for producing a wear-resistant coating and to a wear-resistant coating on predetermined surfaces (2) of machine or engine parts (1) consisting of a sintered material which are exposed to frictional wear, for fuel feed units in particular, comprising at least one metal-free amorphous hydrocarbon layer (5) with sp2- and sp3-hybridized carbon applied to the predetermined surface (2) of the machine or engine part (I) for reducing friction and increasing the wear resistance of the predetermined surface (2) of the machine or engine part (1), and at least one intermediate layer of a metal-containing hydrocarbon layer formed between the predetermined surface of the machine or engine part and the amorphous hydrocarbon layer wherein the metal is a combination of W, Ti, Hf and Ge.
    Type: Grant
    Filed: July 23, 2008
    Date of Patent: November 2, 2010
    Assignee: Schaeffler KG
    Inventors: Tim Matthias Hosenfeldt, Martin Kramer, Alexander Freiburg
  • Publication number: 20100266419
    Abstract: The object of the invention is an engine component for arrangement in the gas flow of a gas turbine. According to the invention, at least one part of the surface of said component subjected to the gas flow in operation comprises a modified amorphous carbon layer containing hydrogen that is applied using a vacuum coating technique.
    Type: Application
    Filed: August 29, 2008
    Publication date: October 21, 2010
    Applicant: LUFTHANSA TECHNIK AG
    Inventors: Ulf Reinmöller, Christian Siry
  • Publication number: 20100258526
    Abstract: In a method of forming an ACL, a substrate is provided in a deposition chamber. A plasma deposition process is performed by providing a deposition gas into the deposition chamber to form the ACL on the substrate. The deposition gas includes a deposition source gas, a carrier gas and a control gas. The deposition source gas includes a hydrocarbon, and the control gas includes at least one of oxygen and oxycarbon.
    Type: Application
    Filed: April 5, 2010
    Publication date: October 14, 2010
    Inventors: Jaihyung Won, Jin-Hyung Park, Jeon-Sig Lim, Jae-Hyun Park, Jong-Sik Choi
  • Patent number: 7803433
    Abstract: An amorphous carbon film forming apparatus according to the present invention is characterized by being provided with a film forming furnace 11; plural workpiece fixtures 23 for supporting plural plate-like workpieces 22 in a state that the same are piled up vertically in parallel with the interval between facing surfaces of two vertically adjoining of the plate-like workpieces 22 being in a range of 2 to 30 millimeters, the plural workpiece fixtures 23 being arranged within the film forming furnace 11 at a regular angular interval on a circle and being connected to a negative electrode; nozzles 31, 32 provided for supplying a processing gas and including at least one nozzle arranged at a center of the circle on which the plural workpiece fixtures 23 are arranged and plural nozzles arranged at a regular angular interval on another circle which surrounds the workpieces fixtures 23 radially outside thereof; and a plasma power supply connected to at least the workpiece fixtures 23.
    Type: Grant
    Filed: February 12, 2004
    Date of Patent: September 28, 2010
    Assignee: JTEKT Corporation
    Inventors: Junji Ando, Naoyuki Sakai, Toshiyuki Saito, Kazuyuki Nakanishi, Hiroyuki Mori, Hideo Tachikawa, Kyouji Itou, Mikio Fujioka, Yoshiyuki Funaki
  • Publication number: 20100239482
    Abstract: A method according to the invention comprises: starting plasma discharge for forming the gas barrier layer in a film deposition chamber; and producing the gas barrier layer by using a plasma after a first predetermined period of time has elapsed from a start of the plasma discharge.
    Type: Application
    Filed: March 17, 2010
    Publication date: September 23, 2010
    Applicant: FUJIFILM CORPORATION
    Inventors: Toshiya TAKAHASHI, Kouji TONOHARA, Shinsuke TAKAHASHI
  • Publication number: 20100221452
    Abstract: The present invention relates to a method of coating fluorocarbon or hydrocarbon on the surface of a workpiece using atmospheric pressure plasma. More particularly, the present invention relates to a method of coating hydrocarbon or fluorocarbon on the surface of a workpiece using plasma generated under atmospheric pressure such that the workpiece can have a hydrophobic or super-hydrophobic surface.
    Type: Application
    Filed: July 7, 2006
    Publication date: September 2, 2010
    Inventor: Bang-Kwon Kang
  • Patent number: 7771775
    Abstract: A method of fabricating a medical implant component. The method may comprise producing a substrate from a first material in which the substrate has a bearing portion, and spraying particles of a second material by use of a thermal type spraying process onto at least the bearing portion of the substrate. The second material may be formed from a biocompatible material and a carbide source, in which the carbide source is 6.17% or more of the second material by weight. The biocompatible material may be cobalt chrome and the carbide source may be graphite. The thermal type spraying process may be a plasma spraying process or a high velocity oxygen fuel spraying process.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: August 10, 2010
    Assignee: Howmedica Osteonics Corp.
    Inventors: Daniel E. Lawrynowicz, Aiguo Wang, Zongtao Zhang
  • Publication number: 20100178490
    Abstract: The present invention provides method and process for forming a barrier layer on a flexible substrate. The continuous roll-to-roll method includes providing a substrate to a processing chamber using at least one roller configured to guide the substrate through the processing chamber. The process includes depositing a barrier layer adjacent the substrate by exposing at least one portion of the substrate that is within the processing chamber to plasma comprising a silicon-and-carbon containing precursor gas. The present invention is further directed to a coated flexible substrates comprising a barrier layer based on the structural unit SiC:H. The barrier layer possesses high density and low porosity. Still further, the barrier layer exhibits low water vapor transmission rate (WVTR) in the range of 10?2-10?3 g.m?2d?1 and is appropriate for very low permeability applications.
    Type: Application
    Filed: February 29, 2008
    Publication date: July 15, 2010
    Inventors: Glenn Cerny, Mark Loboda, Vasgen Shamamian, Steven Snow, William Weidner, Ludmil Zambov
  • Publication number: 20100178234
    Abstract: The present invention is a multilayer substrate comprising, at least, a single crystal substrate, a diamond film vapor-deposited on the single crystal substrate, wherein the single crystal substrate is a single crystal Ir or a single crystal Rh and a method for producing a multilayer substrate comprising, at least, a step of vapor-depositing a diamond film on a single crystal substrate, wherein a single crystal Ir or a single crystal Rh is used as the single crystal substrate. As a result, there is provided a multilayer substrate having a high quality single crystal diamond film with a large area and with a high crystallinity as a continuous film in which the diamond and the single crystal substrate are not broken and a method for producing the multilayer substrate at low cost.
    Type: Application
    Filed: January 4, 2010
    Publication date: July 15, 2010
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Hitoshi Noguchi
  • Patent number: 7754302
    Abstract: The present invention provides a plastic container or bottle having an inner wall surface coated with a DLC film which has the same level of oxygen barrier property as prior art DLC coated containers while at the same time preventing the coloration of the neck portion found in the containers of the prior art. The DLC film formed on the neck portion of the inventive bottle has a lower graphite mixing proportion than the DLC film formed on body portion, and the oxygen permeability of the container is less than or equal to 0.0050 ml/container (500 ml PET container)/day. The apparatus and the method of producing such a bottle are also described.
    Type: Grant
    Filed: May 26, 2003
    Date of Patent: July 13, 2010
    Assignee: Kirin Brewery Company, Limted
    Inventors: Teruyuki Yamasaki, Akira Shirakura, Hideyasu Ando
  • Patent number: 7744966
    Abstract: A production process of magnetic recording media is provided in which, when using an oxide magnetic material as a perpendicular magnetic recording layer and forming a carbon protective layer using a plasma CVD method, stripping of the carbon protective layer and separation of a lubrication layer can be prevented, and satisfactory recording and reproduction characteristics can be obtained.
    Type: Grant
    Filed: March 16, 2006
    Date of Patent: June 29, 2010
    Assignee: Showa Denko K.K.
    Inventor: Masahiro Oka
  • Publication number: 20100124023
    Abstract: A method for plating film on a heat dissipation module includes the steps of: cleaning the heat dissipation module; injecting hydrogen and tetra-methylsilane gases and applying an electric current to generate a bias electric field within a working chamber, thereby plating an adherent film on the heat dissipation module; injecting hydrocarbon gas together with the hydrogen and tetra-methylisilane gases into the working chamber, thereby plating a mixed film on the adherent film; and injecting the hydrogen and tetra-methylisilane gases together with hydrocarbon gas into the working chamber, thereby plating a noncrystalline DLC film on the mixed film.
    Type: Application
    Filed: January 13, 2009
    Publication date: May 20, 2010
    Inventor: YU-HSUEH LIN
  • Publication number: 20100119732
    Abstract: The invention relates to a hydrogenated amorphous carbon coating characterized by a substantial absence of spx hybridized CHx endgroups with x equal to 1, 2 and 3. The invention further relates to a method to deposit such a hydrogenated amorphous carbon coating on a substrate.
    Type: Application
    Filed: February 5, 2008
    Publication date: May 13, 2010
    Inventors: M. Creatore, Roland Groenen, S. V. Singh, M.C.M. Van De Sanden, Kris Van Hege
  • Publication number: 20100105213
    Abstract: An amorphous carbon film forming method is performed by using a parallel plate type plasma CVD apparatus in which an upper electrode and a lower electrode are installed within a processing chamber, and the method includes: disposing a substrate on the lower electrode; supplying carbon monoxide and an inert gas into the processing chamber; decomposing the carbon monoxide by applying a high frequency power to at least the upper electrode and generating plasma; and depositing amorphous carbon on the substrate. It is desirable that the upper electrode is a carbon electrode.
    Type: Application
    Filed: February 21, 2008
    Publication date: April 29, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hiraku Ishikawa, Tadakazu Murai, Eisuke Morisaki
  • Publication number: 20100098884
    Abstract: Methods of depositing boron-containing liner layers on substrates involve the formation of a bilayer including an initiation layer which includes barrier material to inhibit the diffusion of boron from the bilayer into the underlying substrate.
    Type: Application
    Filed: June 22, 2009
    Publication date: April 22, 2010
    Applicant: Applied Materials, Inc.
    Inventors: MIHAELA BALSEANU, Li-Qun Xia, Derek R. Witty, Yi Chen
  • Patent number: 7700164
    Abstract: In an apparatus for fabricating a carbon coating, an object such as a magnetic recording medium is disposed on a side of an electrode connected to a high-frequency power supply. Ultrasonic vibrations are supplied to the object. Discharge is generated between the electrode connected to the high-frequency power supply and a grounded electrode to fabricate a carbon coating on the surface of the object. Also, an electrode interval is set to 6 mm or less, pressure between the electrodes is set to 15 Torr to 100 Torr, whereby high-density plasma is generated to form an ion sheath on an anode side. Therefore, a coating is fabricated on the surface of the object by bombardment of ions.
    Type: Grant
    Filed: November 2, 2004
    Date of Patent: April 20, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd
    Inventors: Shunpei Yamazaki, Kenji Itoh, Shigenori Hayashi
  • Publication number: 20100061063
    Abstract: A free standing film includes: i. a matrix layer having opposing surfaces, and ii. an array of nanorods, where the nanorods are oriented to pass through the matrix layer and protrude an average distance of at least 1 micrometer through one or both surfaces of the matrix layer. A method for preparing the free standing film includes (a) providing an array of nanorods on a substrate, optionally (b) infiltrating the array with a sacrificial layer, (c) infiltrating the array with a matrix layer, thereby producing an infiltrated array, optionally (d) removing the sacrificial layer without removing the matrix layer, when step (b) is present, and (e) removing the infiltrated array from the substrate to form the free standing film. The free standing film is useful as an optical filter, ACF, or TIM, depending on the type and density of nanorods selected.
    Type: Application
    Filed: January 25, 2008
    Publication date: March 11, 2010
    Inventors: Carl Fairbank, Fisher Mark
  • Publication number: 20100055442
    Abstract: A method of depositing a SiNxCy liner on a porous low thermal conductivity (low-k) substrate by plasma-enhanced atomic layer deposition (PE-ALD), which includes forming a SiNxCy liner on a surface of a low-k substrate having pores on a surface thereon, in which the low-k substrate is repeatedly exposed to a aminosilane-based precursor and a plasma selected from nitrogen, hydrogen, oxygen, helium, and combinations thereof until a thickness of the liner is obtained, and wherein the liner is prevented from penetrating inside the pores of a surface of the substrate. A porous low thermal conductivity substrate having a SiNxCy liner formed thereon by the method is also disclosed.
    Type: Application
    Filed: September 3, 2008
    Publication date: March 4, 2010
    Applicant: International Business Machines Corporation
    Inventors: Andrew J. Kellock, Hyungjun Kim, Dae-Gyu Park, Satyanarayana V. Nitta, Sampath Purushothaman, Stephen Rossnagel, Oscar Van Der Straten
  • Patent number: 7662441
    Abstract: Disclosed is a method for manufacturing a diamond film of electronic quality at a high rate using a pulsed microwave plasma. The plasma that has a finite volume is formed near a substrate (in a vacuum chamber) by subjecting a gas containing at least hydrogen and carbon to a pulsed discharge. The pulsed discharge has a succession of low-power states and of high-power states and a peak absorbed power PC, in order to obtain carbon-containing radicals in the plasma. These carbon-containing radicals are deposited on the substrate in order to form a diamond film. Power is injected into the volume of the plasma with a peak power density of at least 100 W/cm3, while maintaining the substrate to a substrate temperature of between 700° C. and 1000 ° C.
    Type: Grant
    Filed: June 18, 2003
    Date of Patent: February 16, 2010
    Assignees: Centre National de la Recherche Scientifique - CNRS, Universite Paris Nord (Paris XII) Institut Galilee
    Inventors: Alix Hélène Gicquel, François Silva, Xavier Duten, Khaled Hassouni, Guillaume Vincent Lombardi, Antoine Rousseau
  • Publication number: 20100021695
    Abstract: An engraved plate which includes a substrate and an insulating layer on a surface of the substrate wherein a concave portion which increases in width toward an opening and to which the substrate is exposed is formed at the insulating layer, and an engraved plate, a substrate with conductor layer pattern manufactured by a transferring method using the engraved plate, and a conductor layer pattern are provided.
    Type: Application
    Filed: December 27, 2007
    Publication date: January 28, 2010
    Inventors: Susumu Naoyuki, Hisashige Kanbara, Minoru Tosaka, Kyosuke Suzuki, Toshirou Okamura, Yoshihito Kikuhara, Masami Negishi, Tadayasu Fujieda, Kouichi Tsuyama
  • Patent number: 7651741
    Abstract: A support that includes a flexible substrate and provided thereon, one or two or more polymer layers and one or two or more sealing layers, wherein at least one of the polymer layers and the sealing layers is formed by a process including exciting a reactive gas at a space between opposed electrodes at atmospheric pressure or approximately atmospheric pressure by electric discharge to be in the plasma state, and exposing the flexible substrate, the polymer layer or the sealing layer to the reactive gas in the plasma state.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: January 26, 2010
    Assignee: Konica Corporation
    Inventors: Taketoshi Yamada, Hiroshi Kita
  • Publication number: 20100013385
    Abstract: A display device free from a deterioration in luminescence efficiency is provided. In the display device of the present invention, since an inorganic film is formed after concave parts in which luminescence portions are positioned are filled with a filling film, no crack is formed in the inorganic film. Since the inorganic film is made of a material having high gas tightness and heat conductivity (such as, diamond-like carbon or AlN), water and oxygen will hardly penetrate the luminescence portions, and heat of the luminescence portions will be conducted to the inorganic film, so that the luminescence portions do not reach high temperatures. Further, since a gap between first and second panels is filled with a resin film, the atmosphere does not enter from the outside. Because the luminescence portions are free from damage from water, oxygen and heat, the display device of the present invention has a prolonged life.
    Type: Application
    Filed: August 20, 2009
    Publication date: January 21, 2010
    Applicant: ULVAC, INC.
    Inventor: Toshio NEGISHI
  • Publication number: 20100009242
    Abstract: Provided is a method for controlling a carbon nanowall (CNW) structure having improved corrosion resistance against high potential by varying the spacing between the carbon nanowall (CNW) walls so that its surface area and crystallinity are controlled. Also provided is a carbon nanowall (CNW) with a high surface arca and a carbon nanowall (CNW) with a high crystallinity, both of which have a controlled structure. According to the present invention, provided are: (1) a carbon nanowall, characterized by having a wall surface area of 50 cm2/cm2-substrate·?m or more; (2) a carbon nanowall, characterized by having a crystallinity such that the D band half value width in the Raman spectrum measured with an irradiation laser wavelength of 514.5 nm is 85 cm?1 or less: and (3) a carbon nanowall, characterized by having not only a wall surface area of 50 cm2/cm2-substrate·?m or more but also a crystallinity such that the D-band half value width in the Raman spectrum measured with an irradiation laser wavelength of 14.
    Type: Application
    Filed: July 25, 2007
    Publication date: January 14, 2010
    Inventors: Masaru Hori, Mineo Hiramatsu, Hiroyuki Kano, Toru Sugiyama, Yuichiro Hama
  • Patent number: 7645484
    Abstract: A method for forming a metal carbide or metal carbonitride film on a substrate using a vapor deposition process. The method includes comprises introducing a first process material, such as a film precursor, to the substrate followed by introducing a second process material, such as a film reducing agent, to the substrate, whereby plasma can be formed during the introduction of the second process material in order to assist reduction of the first process material on the substrate. Additionally, the temperature of the substrate is elevated to a value approximately equal to or greater than the decomposition temperature of the first process material in order to improve adhesion properties for the metal carbide or metal carbonitride film.
    Type: Grant
    Filed: March 31, 2006
    Date of Patent: January 12, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Tadahiro Ishizaka
  • Patent number: 7638727
    Abstract: Methods and apparatus for plasma-assisted heat treatments are provided. The method can include initiating a heat treating plasma within a cavity (14) by subjecting a gas to electromagnetic radiation in the presence of a plasma catalyst (70), heating the object by exposing the object to the plasma, and maintaining exposure of the object to the plasma for a sufficient period to alter at least one material property of the object.
    Type: Grant
    Filed: May 7, 2003
    Date of Patent: December 29, 2009
    Assignee: BTU International Inc.
    Inventors: Satyendra Kumar, Devendra Kumar, Michael L. Dougherty
  • Publication number: 20090311444
    Abstract: Methods for improving coating or etching uniformity of non-conductive substrates in plasma-mediated processes generally include applying an electrically conductive coating to the non-conductive substrate prior to plasma processing. The electrically conductive coating is disposed in electrical communication with a metallic electrode of a plasma reactor. By disposing a conductive layer on the non-conductive substrate, a uniform electric potential is created during plasma processing can be built up on the non-conductive, which is equivalent to that of the metallic electrode upon which it is disposed during plasma processing.
    Type: Application
    Filed: June 12, 2008
    Publication date: December 17, 2009
    Applicant: General Electric Company
    Inventors: Brian Joseph Scherer, Ahmet Gun Erlat, Min Yan
  • Publication number: 20090311445
    Abstract: A catalytic chemical vapor deposition method and apparatus for synthesizing carbon nanotubes and/or carbon nanofibers (CNTs) on a substrate involves selectively heating a catalyst for CNT synthesis on or near the surface of the substrate. Selective heating of the catalyst is achieved using inductive heating from a radio frequency source. Selective heating of the catalyst prevents heating of the substrate and enables the synthesis of CNTs on temperature sensitive substrates.
    Type: Application
    Filed: August 5, 2009
    Publication date: December 17, 2009
    Applicant: CFD RESEARCH CORPORATION
    Inventor: Aleksey V. Vasenkov
  • Publication number: 20090304951
    Abstract: A method for forming a ultralow dielectric constant layer with controlled biaxial stress is described incorporating the steps of forming a layer containing Si, C, O and H by one of PECVD and spin-on coating and curing the film in an environment containing very low concentrations of oxygen and water each less than 10 ppm. A material is also described by using the method with a dielectric constant of not more than 2.8. The invention overcomes the problem of forming films with low biaxial stress less than 46 MPa.
    Type: Application
    Filed: August 17, 2009
    Publication date: December 10, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Christos Dimitrios Dimitrakopoulos, Stephen McConnell Gates, Alfred Grill, Michael Wayne Lane, Eric Gerhard Liniger, Xiao Hu Liu, Son Van Nguyen, Deborah Ann Neumayer, Thomas McCarroll Shaw
  • Publication number: 20090297731
    Abstract: A plasma CVD apparatus for forming a film on a substrate includes: an evacuatable reaction chamber; capacitively-coupled upper and lower electrodes disposed inside the reaction chamber; and an insulator for inhibiting penetration of a magnetic field of radio frequency generated during substrate processing. The insulator is placed on the bottom surface of the reaction chamber under the lower electrode.
    Type: Application
    Filed: May 30, 2008
    Publication date: December 3, 2009
    Applicant: ASM JAPAN K.K.
    Inventor: Kamal Kishore Goundar
  • Publication number: 20090297429
    Abstract: In one aspect, the invention relates to a method of producing high-quality diamond comprising the steps of providing a mixture comprising hydrogen, a carbon precursor, and oxygen; exposing the mixture to energy at a power sufficient to establish a plasma from the mixture; containing the plasma at a pressure sufficient to maintain the plasma; and depositing carbon-containing species from the plasma to produce diamond at a growth rate of at least about 10 ?m/hr; wherein the diamond comprises less than about 10 ppm nitrogen. The invention also relates to the apparatus, gas compositions, and plasma compositions used in connection with the methods of the invention as well as the products produced by the methods of the invention. This abstract is intended as a safety scanning tool for purposes of searching in the particular art and is not intended to be limiting of the present invention.
    Type: Application
    Filed: December 15, 2006
    Publication date: December 3, 2009
    Inventors: Yogesh K. Vohra, Paul A. Baker
  • Publication number: 20090291234
    Abstract: A method for depositing a thin film for a magnetic recording medium includes the steps of placing a substrate for a recording medium having a magnetic recording layer thereon on a substrate holder rotatably arranged within a film deposition chamber; and supplying a plasma beam from a plasma beam formation portion to the film deposition chamber so as to form a thin film of ta-C on the magnetic recording layer. In supplying the plasma beam, an inclination angle formed by a normal line to a surface of the magnetic recording layer and a plane orthogonal to a direction of incidence of the plasma beam is changed from a minimum inclination angle to a maximum inclination angle according to an increase in film thickness of the ta-C thin film.
    Type: Application
    Filed: May 18, 2009
    Publication date: November 26, 2009
    Applicant: FUJI ELECTRIC DEVICE TECHNOLOGY CO., LTD.
    Inventors: Katsunori Suzuki, Takeshi Watanabe
  • Publication number: 20090291326
    Abstract: A protective film is disclosed that is mainly composed of a tetrahedral amorphous carbon (ta-C film) that is denser than a DLC film formed by a plasma CVD method and containing aggregate particles so reduced as to a necessary and sufficient level, to provide a method of manufacturing such a protective film, and to provide a magnetic recording medium comprising such a protective film. The film is mainly composed of a ta-C film formed by a filtered cathodic arc method using a cathode target of glass state carbon. A magnetic recording medium is disclosed which includes a substrate, a magnetic recording layer, and the protective film mainly composed of a ta-C film.
    Type: Application
    Filed: May 22, 2009
    Publication date: November 26, 2009
    Applicant: Fuji Electric Device Technology Co., Ltd.
    Inventor: Naruhisa NAGATA