Polyester Patents (Class 430/285.1)
  • Publication number: 20130164683
    Abstract: Disclosed are a photosensitive resin composition for a color filter that includes (A) a binder resin including phenol-based resin represented by the following Chemical Formula 1; (B) a photopolymerizable monomer; (C) a photopolymerization initiator; (D) a colorant; and (E) a solvent, and a color filter using the same. In Chemical Formula 1, each substituent is the same as defined in the detailed description.
    Type: Application
    Filed: August 21, 2012
    Publication date: June 27, 2013
    Applicant: CHEIL INDUSTRIES INC.
    Inventors: Jung-Sik CHOI, Chang-Min LEE, Min-Sung KIM, Jin-Woo PARK, Myung-Ho CHO
  • Publication number: 20130157197
    Abstract: A resist composition comprising: a base component (A) that exhibits changed solubility in a developing solution by the action of acid; a photoreactive quencher (C); and an acid-generator component (B) that generates acid upon exposure, wherein the photoreactive quencher (C) contains a compound represented by general formula (c1) shown below. In the formula, R1 represents a hydrogen atom or a hydrocarbon group of 1 to 20 carbon atoms which may have a substituent; each of R2 and R3 independently represents a hydrogen atom or a hydrocarbon group of 1 to 20 carbon atoms which may have a substituent; at least two of R1 to R3 may be mutually bonded to form a ring; X represents an oxygen atom or a sulfur atom; n represents 0 or 1; and Z+ represents an organic cation.
    Type: Application
    Filed: December 6, 2012
    Publication date: June 20, 2013
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventor: Tokyo Ohka Kogyo Co., Ltd
  • Patent number: 8461699
    Abstract: The positive tone photosensitive composition of the invention comprises an alkali-soluble resin having a phenolic hydroxyl group, a compound producing an acid by light, a thermal crosslinking agent and an acrylic resin. It is possible to provide a positive tone photosensitive composition that can be developed with an aqueous alkali solution, has sufficiently high sensitivity and resolution, and can form a resist pattern with excellent adhesiveness and thermal shock resistance.
    Type: Grant
    Filed: December 16, 2009
    Date of Patent: June 11, 2013
    Assignee: Hitachi Chemical Company, Ltd.
    Inventors: Hiroshi Matsutani, Takumi Ueno, Alexandre Nicolas, Yukihiko Yamashita, Ken Nanaumi, Akitoshi Tanimoto
  • Publication number: 20130143158
    Abstract: Disclosed is a photosensitive resin composition for a color filter that includes (A) an acrylic-based copolymer including a structural unit represented by the following Chemical Formula 1, wherein R1, R2, Q, and n are the same as defined in the specification; (B) an acrylic-based photopolymerizable monomer; (C) a photopolymerization initiator; (D) a colorant; and (E) a solvent.
    Type: Application
    Filed: August 20, 2012
    Publication date: June 6, 2013
    Applicant: CHEIL INDUSTRIES INC.
    Inventors: Chang-Min LEE, Kwang-Seop KIM, Hyun-Moo CHOI, Ho-Jeong PAEK, Hwan-Sung CHEON
  • Publication number: 20130143159
    Abstract: A resist composition including a base component containing a polymer (A1) having a structural unit (a5) containing a group represented by general formula (a5-0-1) or (a5-0-2), wherein the amount of the monomer that derives the structural unit (a5) is not more than 100 ppm relative to (A1). In the formulas, each of Q1 and Q2 represents single bond or divalent linking group, R3, R4 and R5 represent organic groups, and R4 and R5 may be bonded to each other to form a ring in combination with the sulfur atom, provided that —R3—S+(R4)(R5) has a total of only one aromatic ring or has no aromatic rings, V? represents a counter anion, A? represents an organic group containing anion, and Mm+ represents an organic cation having a valency of m, wherein m represents an integer of 1 to 3, provided that Mm+ has only one aromatic ring or has no aromatic rings.
    Type: Application
    Filed: October 17, 2012
    Publication date: June 6, 2013
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventor: TOKYO OHKA KOGYO CO., LTD.
  • Publication number: 20130141810
    Abstract: Disclosed is a photosensitive resin composition for a color filter and a color filter using the same. The photosensitive resin composition for a color filter includes (A) a dye-polymer composite including a structural unit derived from the compound represented by the following Chemical Formula 1, wherein each substituent is the same as defined in the detailed description; (B) an acryl-based photopolymerizable monomer; (C) a photopolymerization initiator; and (D) a solvent.
    Type: Application
    Filed: October 16, 2012
    Publication date: June 6, 2013
    Applicant: CHEIL INDUSTRIES INC.
    Inventors: Yeon-Soo LEE, Seong-Ryong NAM, Taek-Jin BAEK, Sang-Won CHO, Jae-Hyun KIM, Chang-Min LEE, Gyu-Seok HAN
  • Publication number: 20130143160
    Abstract: A radiation-sensitive resin composition that provides a resist coating film in a liquid immersion lithography process is provided, the radiation-sensitive resin composition being capable of exhibiting a great dynamic contact angle during exposure, whereby the surface of the resist coating film can exhibit a superior water draining property, and the radiation-sensitive resin composition being capable of leading to a significant decrease in the dynamic contact angle during development, whereby generation of development defects can be inhibited, and further shortening of a time period required for change in a dynamic contact angle is enabled. A radiation-sensitive resin composition including (A) a polymer having a structural unit (I) represented by the following formula (1), and (B) a radiation-sensitive acid generator.
    Type: Application
    Filed: May 19, 2011
    Publication date: June 6, 2013
    Applicant: JSR CORPORATION
    Inventors: Yusuke Asano, Yoshifumi Oizumi, Akimasa Soyano, Takeshi Ishii
  • Publication number: 20130137039
    Abstract: Disclosed are a photosensitive resin composition for a color filter that includes a colorant including a phthalocyanine-based compound represented by the following Chemical Formula 1 and a triarylmethane-based compound represented by the following Chemical Formula 2, wherein the substituents of Chemical Formulas 1 and 2 are the same as same as defined in the detailed description, and a color filter prepared using the same.
    Type: Application
    Filed: September 11, 2012
    Publication date: May 30, 2013
    Applicant: CHEIL INDUSTRIES INC.
    Inventors: Sang-Won CHO, Ji-Hong KIM, Seong-Ryong NAM, Won-A Noh, Taek-Jin BAEK, Chang-Min LEE, Gyu-Seok HAN
  • Publication number: 20130137043
    Abstract: The invention provides a photosensitive element and a method for preparing a printing form from the element. The photosensitive element includes a layer of a photosensitive composition containing a binder, a monomer, and a Norrish type II photoinitiator, wherein the photosensitive layer has a transmittance to actinic radiation of less than 20% and contains reinforcing particles of graphene and/or carbon nanotubes.
    Type: Application
    Filed: January 16, 2013
    Publication date: May 30, 2013
    Applicant: E I DU PONT DE NEMOURS AND COMPANY
    Inventor: E I DU PONT DE NEMOURS AND COMPANY
  • Publication number: 20130137040
    Abstract: To provide an on-press development type lithographic printing plate precursor excellent in ink receptivity and printing durability. A lithographic printing plate precursor which includes a support, an image-recording layer which contains a sensitizing dye, a polymerization initiator and a polymerizable compound and an unexposed area of which is capable of being removed by supplying after exposure, at least any of printing ink and dampening water on a printing machine, and an overcoat layer containing a water-soluble resin in this order, wherein the overcoat layer is substantially not mixed with the image-recording layer.
    Type: Application
    Filed: March 15, 2011
    Publication date: May 30, 2013
    Applicant: FUJIFILM CORPORATION
    Inventors: Takanori Mori, Kenji Hayashi, Manabu Hashigaya
  • Publication number: 20130137042
    Abstract: A photosensitive composition and a photoresist are provided. The photoresist is formed by compounding a photosensitive composition. The photosensitive composition comprises a binder agent, a photomonomer and a photoinitiator. The binder agent has a chemical structure comprising following repeating unit: R1 is H or CH3, n is 2-40 of integer. The photomonomer has an amount of about 25-95 parts by weight relative to 100 parts by weight of a solid content of the binder agent. The photo initiator has an amount of about 0.5-15 parts by weight relative to 100 parts by weight of the solide content of the binder agent.
    Type: Application
    Filed: January 15, 2013
    Publication date: May 30, 2013
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventor: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
  • Publication number: 20130137041
    Abstract: The present invention is a silicon-containing resist underlayer film-forming composition containing at least any one of a condensation product and a hydrolysis condensation product or both of a mixture comprising: one or more kinds of a compound (A) selected from the group consisting of an organic boron compound shown by the following general formula (1) and a condensation product thereof and one or more kinds of a silicon compound (B) shown by the following general formula (2). Thereby, there can be provided a resist underlayer film applicable not only to the resist pattern formed of a hydrophilic organic compound obtained by the negative development but also to the resist pattern formed of a hydrophobic compound obtained by the conventional positive development.
    Type: Application
    Filed: November 5, 2012
    Publication date: May 30, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: SHIN-ETSU CHEMICAL CO., LTD.
  • Publication number: 20130137038
    Abstract: A photoresist composition comprises an acid-sensitive polymer, and a cyclic sulfonium compound having the formula: (Ra)1—(Ar)—S+(—CH2—)m·?O3S—(CRb2)n-(L)p-X wherein each Ra is independently a substituted or unsubstituted C1-30 alkyl group, C6-30 aryl group, C7-30 aralkyl group, or combination comprising at least one of the foregoing, Ar is a monocyclic, polycyclic, or fused polycyclic C6-30 aryl group, each Rb is independently H, F, a linear or branched C1-10 fluoroalkyl or a linear or branched heteroatom-containing C1-10 fluoroalkyl, L is a C1-30 linking group optionally comprising a heteroatom comprising O, S, N, F, or a combination comprising at least one of the foregoing heteroatoms, X is a substituted or unsubstituted, C5 or greater monocyclic, polycyclic or fused polycyclic cycloaliphatic group, optionally comprising a heteroatom comprising O, S, N, F, or a combination comprising at least one of the foregoing, and 1 is an integer of 0 to 4, m is an integer of 3 to 20, n is an integer of 0 to 4, and p
    Type: Application
    Filed: May 29, 2012
    Publication date: May 30, 2013
    Applicants: Dow Global Technologies LLC, Rohm and Haas Electronic Materials LLC
    Inventors: Mingqi Li, Amad Aqad, Cong Liu, Ching-Lung Chen, Shintaro Yamada, Cheng-bai Xu, Joseph Mattia
  • Publication number: 20130130177
    Abstract: A negative pattern is formed by applying a resist composition comprising (A) a polymer comprising recurring units (a1) having a hydroxyl group protected with an acid labile group and recurring units (a2) having an amino group, amide bond, carbamate bond or nitrogen-containing heterocycle, (B) a photoacid generator, and (C) an organic solvent onto a substrate, prebaking, exposing, baking, and selectively dissolving an unexposed region of the resist film in an organic solvent-based developer.
    Type: Application
    Filed: November 16, 2012
    Publication date: May 23, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: SHIN-ETSU CHEMICAL CO., LTD.
  • Publication number: 20130130178
    Abstract: Provided is an actinic-ray- or radiation-sensitive resin composition including a resin (B) containing at least either a fluorine atom or a silicon atom, the resin (B) containing any of repeating units of general formulae (I-1) and (I-2) below: Wherein each of R1s independently represents a hydrogen atom, an alkyl group or a halogen atom, X1 represents a bivalent organic group, X2 represents a single bond or a bivalent organic group, each of Ar1s independently represents a monovalent aromatic ring group, Ar2 represents a bivalent aromatic ring group, and each of L's independently represents a single bond or a bivalent organic group.
    Type: Application
    Filed: August 26, 2011
    Publication date: May 23, 2013
    Applicant: FUJIFILM CORPORATION
    Inventors: Yusuke Iizuka, Akinori Shibuya
  • Publication number: 20130129941
    Abstract: Embodiments of the invention provide a negative light sensitive resin composition, a color filter and a liquid crystal display device. The negative light sensitive resin composition comprises: an alkaline soluble resin, which is a terpolymer, or a derivative of the terpolymer, made from the following three monomers: styrene, ?-pinene, and a carboxylic acid or anhydride containing an unsaturated double bond; a light sensitive resin containing ethylene unsaturated double bonds, which is in a mass ratio of 0.1 to 1.2:1 to the alkaline soluble resin; a photoinitiator, which is in a mass ratio of 0.0001 to 0.001:1 to the composition; a modifying adjuvant, which is in a mass ratio of 0.001 to 0.01:1 to the solid fraction in the composition; and a solvent, which is in a mass ratio of 2 to 20:1 to the solid fraction in the composition. The color filter and the liquid crystal display device comprise a light filter structure formed by the curing of the aforementioned negative light sensitive resin composition.
    Type: Application
    Filed: August 1, 2012
    Publication date: May 23, 2013
    Applicant: BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Jisheng Zhao, Lin Li, Shi Shu
  • Publication number: 20130122424
    Abstract: The present invention provides a photoresist composition comprising a compound represented by formula (I): wherein R1, R2, R4, R5, R7, R8, R10 and R11 independently represent a hydrogen atom, a C1-C20 aliphatic hydrocarbon group, or the like, R3; R6, R9 and R12 independently represent a group of formula (II): , and a salt represented by the formula (B1).
    Type: Application
    Filed: November 14, 2012
    Publication date: May 16, 2013
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventor: SUMITOMO CHEMICAL COMPANY, LIMITED
  • Publication number: 20130122426
    Abstract: A radiation-sensitive resin composition that provides a resist coating film in a liquid immersion lithography process is provided, the radiation-sensitive resin composition being capable of exhibiting a great dynamic contact angle during exposure, whereby the surface of the resist coating film can exhibit a superior water draining property, and the radiation-sensitive resin composition being capable of leading to a significant decrease in the dynamic contact angle during development, whereby generation of development defects can be inhibited, and further shortening of a time period required for change in a dynamic contact angle is enabled. A radiation-sensitive resin composition including (A) a fluorine-containing polymer having a structural unit (I) that includes a group represented by the following formula (1), and (B) a radiation-sensitive acid generator.
    Type: Application
    Filed: May 19, 2011
    Publication date: May 16, 2013
    Applicant: JSR CORPORATION
    Inventors: Hitoshi Osaki, Yusuke Asano, Mitsuo Sato, Tomoki Nagai
  • Publication number: 20130122427
    Abstract: A pattern forming, method, includes: (i) forming a film from an actinic ray-sensitive or radiation-sensitive resin composition that contains (A) a compound capable of generating an acid upon irradiation with an actinic ray or radiation and decomposing by an action of an acid to decrease a solubility of the compound (A) for an organic solvent; (ii) exposing the film; and (iii) performing development by using a developer containing an organic solvent.
    Type: Application
    Filed: December 21, 2012
    Publication date: May 16, 2013
    Applicant: FUJIFILM CORPORATION
    Inventor: FUJIFILM CORPORATION
  • Publication number: 20130122420
    Abstract: Provided is an alkali-developable photosensitive resin composition, the dry coating film of which has a favorable dryness to touch, excellent storage stability and few substances that are harmful to humans. The alkali-developable resin composition comprising (A) a carboxyl group-containing resin, (B) a photopolymerization initiator, (C) a compound with two or more ethylenic unsaturated groups in the molecule, (D) filler and (E) an aromatic petroleum solvent, is characterized in that the naphthalene content of said composition is 300 ppm or less.
    Type: Application
    Filed: September 13, 2010
    Publication date: May 16, 2013
    Applicant: Taiyo Ink (Suzhou) Co., Ltd.
    Inventor: Kenji Kato
  • Publication number: 20130122425
    Abstract: A resist pattern formed by a method including forming a resist film by applying, on a substrate, a resist composition containing a base material having a solubility, in a developer liquid containing an organic solvent, that decreases according to an action of an acid, a compound which generates an acid upon irradiation, and a solvent; exposing the resist film; developing the exposed resist film; forming a first coating film by applying, on the resist pattern, a first coating forming agent containing a resin having a solubility in an organic solvent that decreases under action of an acid, and a solvent; and heating the resist pattern on which the first coating forming agent has been applied.
    Type: Application
    Filed: October 30, 2012
    Publication date: May 16, 2013
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventor: TOKYO OHKA KOGYO CO., LTD.
  • Publication number: 20130115556
    Abstract: A pattern forming method, includes: (i) forming a film from a chemical amplification resist composition that contains (A) a resin capable of increasing a polarity of the resin (A) to decrease a solubility of the resin (A) for a developer containing an organic solvent by an action of an acid, (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, and (C) a solvent; (ii) exposing the film; and (iii) performing development by using a developer containing an organic solvent, wherein the resin (A) has a structure in which a polar group is protected with a leaving group capable of decomposing and leaving by an action of an acid, and the leaving group contains a fluorine atom.
    Type: Application
    Filed: December 28, 2012
    Publication date: May 9, 2013
    Applicant: FUJIFILM CORPORATION
    Inventor: FUJIFILM Corporation
  • Publication number: 20130115557
    Abstract: Provided is an actinic-ray- or radiation-sensitive resin composition including (A) a compound that when exposed to actinic rays or radiation, generates an acid, (B) a resin that when acted on by an acid, increases its rate of dissolution in an alkali developer, and (C) a hydrophobic resin, wherein the hydrophobic resin (C) contains a repeating unit derived from any of monomers of general formula (1) below.
    Type: Application
    Filed: September 28, 2011
    Publication date: May 9, 2013
    Applicant: FUJIFILM CORPORATION
    Inventors: Shuhei Yamaguchi, Akinori Shibuya, Yusuke Iizuka
  • Patent number: 8435424
    Abstract: A solvent-free conductive paste composition including (a) a binder, (b) an initiator, (c) a glass powder and (d) a conductive powder; and a solar cell element having an electrode or wire made by coating and sintering the conductive paste composition coated on a silicon semiconductor substrate. The conductive paste composition is solvent-free so that it will not cause environmental problems with respect to the evaporation of solvents and will not be easy to spread out. The conductive paste composition facilitates the development of fine wire and high aspect ratio designs and can efficiently enhance the photoelectric conversion efficiency.
    Type: Grant
    Filed: December 22, 2009
    Date of Patent: May 7, 2013
    Assignee: Eternal Chemical Co., Ltd.
    Inventor: Tsai-Fa Hsu
  • Publication number: 20130108964
    Abstract: A chemically amplified positive resist composition comprising (A) a triarylsulfonium salt of 2,3,3,3-tetrafluoro-2-(1,1,2,2,3,3,3-heptafluoropropoxy)propionic acid, (B) an acid generator, (C) a base resin, and (D) an organic solvent is suited for ArF immersion lithography. The sulfonium salt is highly hydrophobic and little leached out in immersion water. By virtue of controlled acid diffusion, a pattern profile with high resolution can be constructed.
    Type: Application
    Filed: September 14, 2012
    Publication date: May 2, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Youichi Ohsawa, Masaki Ohashi, Takeshi Sasami, Jun Hatakeyama
  • Publication number: 20130108960
    Abstract: A negative pattern is formed by coating a resist composition onto a substrate, the resist composition comprising a polymer comprising recurring units having an acid labile group-substituted hydroxyl group, an acid generator, an onium salt of perfluoroalkyl ether carboxylic acid, and an organic solvent, prebaking, exposing, baking, and developing in an organic solvent such that the unexposed region of film is dissolved away and the exposed region of film is not dissolved. In image formation via positive/negative reversal by organic solvent development, the resist film is characterized by a high dissolution contrast between the unexposed and exposed regions.
    Type: Application
    Filed: October 26, 2012
    Publication date: May 2, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Shin-Etsu Chemical CO., LTD.
  • Publication number: 20130101940
    Abstract: A chemical amplified photoresist composition containing a resin, an acid generator, and a compound of formula (X): wherein R1a, R2a, R3a, R6a, R7a and R8a each independently represent a hydrogen atom, a hydroxyl group, a carboxy group, —SO3, —NH2, a halogen atom, a mercapto group, a C1-C12 alkyl group optionally having a substituent, a C6-C30 aryl group optionally having a substituent, a C3-C12 cycloalkyl group optionally having a substituent, and R4a and R5a each independently represent a hydrogen atom, a hydroxyl group, a carboxy group, —SO3, —NH2, a halogen atom, a mercapto group, a C1-C12 alkyl group optionally having a substituent, a C6-C30 aryl group optionally having a substituent, or a C3-C12 cycloalkyl group optionally having a substituent, or R4a and R5a are bonded each other to form a ring together with carbon atoms binding R4a and R5a and with the carbon atoms forming the bond between the pyridine rings.
    Type: Application
    Filed: October 15, 2012
    Publication date: April 25, 2013
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventor: SUMITOMO CHEMICAL COMPANY, LIMITED
  • Publication number: 20130095427
    Abstract: The present invention relates to a resist composition for EUV or EB containing a base component (A) which generates acid upon exposure and exhibits changed solubility in a developing solution by the action of acid, and a resin component (W) that contains at least one atom selected from a fluorine atom or a silicon atom and contains a polarity conversion group that exhibits increased polarity after decomposition by the action of base, wherein the base component (A) contains a component (A1) which contains a structural unit (a0) having a group represented by general formula (a0-1) or (a0-2) shown below, and the amount of the resin component (W) relative to 100 parts by weight of the base component (A) is 1 to 15 parts by weight.
    Type: Application
    Filed: October 12, 2012
    Publication date: April 18, 2013
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventor: Tokyo Ohka Kogyo Co., Ltd.
  • Publication number: 20130095428
    Abstract: A radiation-sensitive resin composition includes a compound represented by a following formula (1) and a base polymer. In the formula (1), R1 represents a monovalent cyclic organic group having a cyclic ester structure or a cyclic ketone structure; R2 represents a single bond or —CH2—; X is —O—*, —COO—*, —O—CO—O—* or —SO2-O—*, wherein * denotes a binding site to R3; R3 represents a bivalent chain hydrocarbon group having 1 to 5 carbon atoms; and M+ is a monovalent cation. The base polymer has a structural unit derived from (meth)acrylate that includes a lactone skeleton, a structural unit derived from (meth)acrylate that includes a cyclic carbonate skeleton, a structural unit derived from (meth)acrylate that includes a sultone skeleton, a structural unit derived from (meth)acrylate that includes a polar group, or a combination thereof.
    Type: Application
    Filed: October 17, 2012
    Publication date: April 18, 2013
    Applicant: JSR CORPORATION
    Inventor: JSR Corporation
  • Publication number: 20130095429
    Abstract: Provided is an actinic-ray- or radiation-sensitive resin composition that simultaneously achieves excellent developability and excellent immersion-liquid tracking properties, and a method of forming a pattern using the same. The composition contains a resin (B) containing at least either a fluorine atom or a silicon atom, the resin (B) containing any of repeating units of general formula (I) below.
    Type: Application
    Filed: July 7, 2011
    Publication date: April 18, 2013
    Applicant: FUJIFILM CORPORATION
    Inventors: Yusuke Iizuka, Akinori Shibuya, Hidenori Takahashi, Toshiaki Fukuhara, Kousuke Koshijima
  • Publication number: 20130089818
    Abstract: A lithographic printing plate precursor includes: a support; and an image-recording layer containing (A) a polymerization initiator, (B) a sensitizing dye and (C) a polymerizable compound, and the image-recording layer or an undercoat layer which is optionally provided between the support and the image-recording layer comprises (D) a polymer compound comprising (a1) a repeating unit having a side chain having a structure represented by the following formula (a1-1) and (a2) a repeating unit having a side chain having at least one structure of the formulae (a2-1), (a2-2), (a2-3), (a2-4), (a2-5) and (a2-6) as defined herein.
    Type: Application
    Filed: November 29, 2012
    Publication date: April 11, 2013
    Applicant: FUJIFILM Corporation
    Inventor: FUJIFILM Corporation
  • Publication number: 20130089819
    Abstract: A resist composition which can form a very fine resist pattern with excellent lithography properties, a new polymeric compound useful for the resist composition, and a compound useful as a monomer for the polymeric compound. The resist composition contains a polymeric compound containing a structural unit (a0) represented by general formula (a0) shown below. In the formula (a0), A is an anion represented by the general formula (1) or (2).
    Type: Application
    Filed: June 14, 2011
    Publication date: April 11, 2013
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Akiya Kawaue, Kazushige Dohtani, Yoshiyuki Utsumi, Jun Iwashita, Kenri Konno, Daiju Shiono, Daichi Takaki
  • Publication number: 20130084438
    Abstract: There is provided a pattern forming method comprising (1) a step of forming a film by using an electron beam-sensitive or extreme ultraviolet-sensitive resin composition containing (A) a resin that contains a repeating unit having a partial structure represented by the specific formula and can decrease the solubility for a developer containing an organic solvent by the action of an acid, and (B) a compound capable of generating an acid upon irradiation with an electron beam or an extreme ultraviolet ray, (2) a step of exposing the film by using an electron beam or an extreme ultraviolet ray, and (4) a step of developing the exposed film by using an organic solvent-containing developer to form a negative pattern.
    Type: Application
    Filed: September 13, 2012
    Publication date: April 4, 2013
    Applicant: FUJIFILM CORPORATION
    Inventors: Kaoru IWATO, Hideaki TSUBAKI, Shuji HIRANO
  • Publication number: 20130084518
    Abstract: Disclosed is a negative chemical amplification resist composition including (A) a polymer compound having a repeating unit (P) represented by the following formula (I) which is stable in acids and alkalis, and a repeating unit (Q) having a phenolic hydroxyl group; (B) a compound capable of generating an acid when irradiated with actinic rays or a radiation; and (C) a cross-linking agent: in which, in the formula (I), R1 represents a hydrogen atom or a methyl group; L1 represents an oxygen atom or —NH—; L2 represents a single bond or an alkylene group; and A represents a polycyclic hydrocarbon group.
    Type: Application
    Filed: October 2, 2012
    Publication date: April 4, 2013
    Applicant: FUJIFILM CORPORATION
    Inventor: FUJIFILM CORPORATION
  • Publication number: 20130084527
    Abstract: A positive resist composition comprising a polymer having carboxyl groups substituted with an acid labile group having formula (1) exhibits a high contrast of alkaline dissolution rate before and after exposure, a high resolution, a reduced acid diffusion rate, and forms a pattern with good profile, minimal edge roughness, and etch resistance. In formula (1), R1 and R2 each are alkyl, aryl, or alkenyl, which may contain oxygen or sulfur, R3 is fluorine or trifluoromethyl, and m is an integer of 1 to 5.
    Type: Application
    Filed: September 13, 2012
    Publication date: April 4, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun HATAKEYAMA, Koji HASEGAWA
  • Publication number: 20130084528
    Abstract: A positive resist composition comprising a polymer having carboxyl groups substituted with an acid labile group having formula (1) exhibits a high contrast of alkaline dissolution rate before and after exposure, a high resolution, a reduced acid diffusion rate, and forms a pattern with good profile, minimal edge roughness, and etch resistance. In formula (1), R1 is methylene or ethylene, R2 is alkyl, aryl, or alkenyl, which may contain oxygen or sulfur, R3 is fluorine or trifluoromethyl, and m is an integer of 1 to 4.
    Type: Application
    Filed: August 30, 2012
    Publication date: April 4, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Koji Hasegawa
  • Publication number: 20130084529
    Abstract: A positive resist composition comprising a polymer having carboxyl groups substituted with an acid labile group having formula (1), (2) or (3) exhibits a high contrast of alkaline dissolution rate before and after exposure, a high resolution, a reduced acid diffusion rate, and forms a pattern with good profile, minimal edge roughness, and etch resistance. In formula (1), R1, R2, R5, R6, R8, and R9 are alkyl, aryl, or alkenyl, R3, R4, R7, R10, and R11 are hydrogen, alkyl, alkoxy, acyloxy, halogen, cyano, nitro, hydroxyl or trifluoromethyl, M is methylene or ethylene, R is a single bond or linking group.
    Type: Application
    Filed: September 13, 2012
    Publication date: April 4, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Koji Hasegawa
  • Publication number: 20130078574
    Abstract: A self-assemblable polymer is disclosed, having first and second molecular configurations with the first molecular configuration has a higher Flory Huggins parameter for the self-assemblable polymer than the second molecular configuration, and the self-assemblable polymer is configurable from the first molecular configuration to the second molecular configuration, from the second molecular configuration to the first molecular configuration, or both, by the application of a stimulus. The polymer is of use in a method for providing an ordered, periodically patterned layer of the polymer on a substrate, by ordering and annealing the polymer in its second molecular configuration and setting the polymer when it is in the first molecular configuration.
    Type: Application
    Filed: April 20, 2011
    Publication date: March 28, 2013
    Applicant: ASML Netherlands B.V.
    Inventors: Emiel Peeters, Sander Frederik Wuister, Roelof Koole
  • Publication number: 20130078556
    Abstract: A method for forming multicolor color filters is disclosed. First, a first patterned color layer is formed on a substrate. Second, a second patterned color layer and a third patterned color layer are respectively formed on the substrate with the first patterned color layer. Then the first patterned color layer, the second patterned color layer and the third patterned color layer are baked together to simultaneously transform the first patterned color layer, the second patterned color layer and the third patterned color layer to respectively become a first pixel color layer, a second pixel color layer and a third pixel color layer of the multicolor color filters, respectively.
    Type: Application
    Filed: February 28, 2012
    Publication date: March 28, 2013
    Inventors: Feng-Chin Tang, Wen-Jen Hsieh, Ying-Hung Chuang
  • Publication number: 20130078433
    Abstract: Provided are an actinic-ray-sensitive or a radiation-sensitive resin composition with greater residual film ratio and capable of suppressing pattern collapse and an occurrence of bridge defects after development, and a resist film, a pattern forming method, an electronic device manufacturing method, and an electronic device, each using the same. An actinic-ray-sensitive or radiation-sensitive resin composition includes a resin (P) having a repeating unit (a) represented by following General Formula (I), a compound (B) represented by any of following General Formulae (B-1) to (B-3), and a solvent, in General Formula (I), R0 represents a hydrogen atom or a methyl group, and R1, R2 and R3 each independently represent a straight chain or branched alkyl group.
    Type: Application
    Filed: September 13, 2012
    Publication date: March 28, 2013
    Applicant: FUJIFILM CORPORATION
    Inventors: Kaoru IWATO, Hidenori TAKAHASHI, Michihiro SHIRAKAWA
  • Publication number: 20130078434
    Abstract: An actinic ray-sensitive or radiation-sensitive resin composition of the present invention includes a resin (P) having a repeating unit (a) represented by following General Formula (I), a compound (B) generating organic acid by irradiation of actinic ray or radiation, and 1% by mass or more of a resin (C) which has at least one of a fluorine atom and a silicon atom and is different from the resin (P) with regard to total solids of the actinic ray-sensitive or radiation-sensitive resin composition, wherein, in General Formula (I), R0 represents a hydrogen atom or a methyl group, and each of R1, R2, and R3 independently represents a straight chain or branched alkyl group.
    Type: Application
    Filed: September 13, 2012
    Publication date: March 28, 2013
    Applicant: FUJIFILM CORPORATION
    Inventors: Kosuke KOSHIJIMA, Hidenori TAKAHASHI, Shuhei YAMAGUCHI, Kei YAMAMOTO
  • Publication number: 20130071789
    Abstract: A resist composition for use with EUV or EB including: a resin component (C) containing at least one type of atom selected from the group consisting of a fluorine atom and a silicon atom, an aromatic group, and a polarity conversion group that decomposes by action of base to increase the polarity; and a resin component (A) that generates acid upon exposure and exhibits changed solubility in a developing solution under action of acid (excluding the aforementioned resin component (C)), wherein an amount of a structural unit having the aforementioned aromatic group in the aforementioned resin component (C) is at least 20 mol %.
    Type: Application
    Filed: September 14, 2012
    Publication date: March 21, 2013
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Jun IWASHITA, Kenri KONNO
  • Publication number: 20130071787
    Abstract: The present invention provides a positive photosensitive resin composition including: a resin containing a specific acrylic acid-based constituent unit capable of undergoing dissociation of an acid-dissociable group to produce a carboxyl group, and a constituent unit having a functional group capable of reacting with the carboxyl group to form a covalent bond, the resin being alkali-insoluble or sparingly alkali-soluble and becoming alkali-soluble when the acid-dissociable group dissociates; and a compound capable of generating an acid upon irradiation with an actinic ray or radiation. The present invention also provides a method for forming a cured film using the composition. The positive photosensitive composition is excellent in the sensitivity, film residual ratio and storage stability and by the method for forming a cured film using the positive photosensitive resin composition, a cured film excellent in the heat resistance, adhesion, transmittance and the like can be provided.
    Type: Application
    Filed: November 12, 2012
    Publication date: March 21, 2013
    Applicant: FUJIFILM CORPORATION
    Inventor: FUJIFILM CORPORATION
  • Publication number: 20130071788
    Abstract: A negative pattern is formed by coating a resist composition comprising a polymer comprising recurring units of acid labile group-substituted vinyl alcohol and maleic anhydride and/or maleimide, an acid generator, and an organic solvent onto a substrate, prebaking, exposing to high-energy radiation, and developing in an organic solvent developer such that the unexposed region of resist film is dissolved away and the exposed region of resist film is not dissolved. In image formation via positive/negative reversal by organic solvent development, the resist film is characterized by a high dissolution contrast between the unexposed and exposed regions.
    Type: Application
    Filed: September 13, 2012
    Publication date: March 21, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama, Koji Hasegawa
  • Patent number: 8399176
    Abstract: Disclosed is a photosensitive resin composition suitable for use in a transflective liquid crystal display (LCD). The photosensitive resin composition uses, as an alkali-soluble binder resin, a blend of two kinds of binder resins. The first binder resin has a weight average molecular weight greater than or equal to 1,000 but lower than 20,000 and contains no reactive group. The second binder resin has a weight average molecular weight greater than or equal to 20,000 but lower than 80,000 and contains reactive groups. The photosensitive resin composition has good adhesion to an underlying substrate while forming a high resolution fine pattern.
    Type: Grant
    Filed: February 26, 2010
    Date of Patent: March 19, 2013
    Assignee: LG Chem, Ltd.
    Inventors: Han Kook Kim, Sung Hyun Kim, Jae Joon Kim, Bog Ki Hong, Mi Ae Kim, Seung Jin Yang, Sang Moon Yoo, Sun Hwa Kim, Won Jin Chung
  • Publication number: 20130065183
    Abstract: A resist composition is provided comprising a polymer comprising recurring units having a protected hydroxyl group, a photoacid generator, an organic solvent, and a hydroxyl-free polymeric additive comprising fluorinated recurring units. A negative pattern is formed by coating the resist composition, prebaking to form a resist film, exposing, baking, and developing the exposed film in an organic solvent-based developer to selectively dissolve the unexposed region of resist film.
    Type: Application
    Filed: September 7, 2012
    Publication date: March 14, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Tomohiro Kobayashi, Jun Hatakeyama, Masashi Iio, Yuuki Suka, Koji Hasegawa, Yuji Harada
  • Publication number: 20130065182
    Abstract: According to the present invention, there is provided a fluorine-containing sulfonate resin having a repeating unit of the following general formula (3). In order to prevent deficiency such as roughness after pattern formation or failure in pattern formation, the fluorine-containing sulfonate resin incorporates therein a photoacid generating function and serves as a resist resin in which “a moiety capable of changing its developer solubility by the action of an acid” and “a moiety having a photoacid generating function” are arranged with regularity.
    Type: Application
    Filed: August 2, 2012
    Publication date: March 14, 2013
    Applicant: Central Glass Company, Limited
    Inventors: Kazunori MORI, Satoru Narizuka, Fumihiro Amemiya, Masaki Fujiwara
  • Publication number: 20130056654
    Abstract: The invention provides a positive resist composition comprising, as base resins contained therein, (A) a polymer having a weight-average molecular weight of 1000 to 500000 and containing a repeating unit which contains a structure having a hydrogen atom of a carboxyl group thereof substituted with an acid-labile group having a cyclic structure and (B) a novolak resin of a substituted or an unsubstituted fluorescein, and in addition, a photo acid generator. There can be provided a positive resist composition having an appropriate absorption to form a pattern on a highly reflective substrate with excellent pattern profile after light exposure, adhesion, implantation characteristics onto a non-planar substrate, and in addition, ion implantation resistance at the time of ion implantation; and to provide a patterning process.
    Type: Application
    Filed: August 15, 2012
    Publication date: March 7, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun HATAKEYAMA, Daisuke KORI
  • Publication number: 20130056653
    Abstract: The invention provides a positive resist composition comprising, as base resins contained therein, (A) a polymer having a weight-average molecular weight of 1000 to 500000 and containing a repeating unit which contains a structure having a hydrogen atom of a carboxyl group thereof substituted with an acid-labile group having a cyclic structure and (B) a novolak resin of a substituted or an unsubstituted naphtholphthalein, and in addition, a photo acid generator. There can be provided a positive resist composition having an appropriate absorption to form a pattern on a highly reflective substrate, excellent characteristics in adhesion and implantation onto a non-planar substrate, a good pattern profile after light exposure, and an ion implantation resistance at the time of ion implantation; and a patterning process.
    Type: Application
    Filed: August 13, 2012
    Publication date: March 7, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun HATAKEYAMA, Daisuke KORI
  • Patent number: 8389198
    Abstract: A resin composition for laser engraving includes a binder polymer including a polyester, and an oxy compound of at least one of metal and metalloid selected from Groups 1 to 16 of periodic table.
    Type: Grant
    Filed: February 27, 2009
    Date of Patent: March 5, 2013
    Assignee: FUJIFILM Corporation
    Inventor: Atsushi Sugasaki