Polyester Patents (Class 430/285.1)
  • Publication number: 20130298398
    Abstract: The invention relates to a photosensitive resin composition comprising a binder polymer having a (meth)acrylic acid-based structural unit, with a dispersity (weight-average molecular weight/number-average molecular weight) of no greater than 1.6, a photopolymerizable compound, a photopolymerization initiator and a sensitizing dye.
    Type: Application
    Filed: November 15, 2011
    Publication date: November 14, 2013
    Inventors: Masahiro Miyasaka, Masaki Endou, Masataka Kushida, Yukiko Muramatsu, Makoto Kaji
  • Publication number: 20130288180
    Abstract: A polymer for use in resist compositions is obtained from a monomer having formula (1) wherein R1 is methyl, ethyl, propyl, vinyl or ethynyl, the circle designates C3-C12 cycloalkyl, a combination wherein R1 is ethyl and the circle is cyclohexyl being excluded, R2 is H or C1-C4 alkyl, and m is 1 to 4.
    Type: Application
    Filed: April 3, 2013
    Publication date: October 31, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Koji Hasegawa
  • Publication number: 20130288179
    Abstract: A radiation-sensitive resin composition includes a compound represented by a formula (1), and a polymer that includes a structural unit having an acid-labile group. R1 and R4 each independently represent a hydrogen atom, or the like. R2 and R3 each independently represent a hydrogen atom or the like. X1 and X2 each independently represent a hydrogen atom, or the like, or X1 and X2 taken together represent —S—, —O—, —SO2—, or the like. A represents an ethanediyl group, wherein at least one hydrogen atom included in X1, X2 and A is substituted by —Y—SO3?M+. Y represents an alkanediyl group having 1 to 10 carbon atoms, or the like. M+ represents a monovalent onium cation. In the case where —Y—SO3?M+ is present in a plurality of number, a plurality of Ys are each identical or different and a plurality of M+s are each identical or different.
    Type: Application
    Filed: June 27, 2013
    Publication date: October 31, 2013
    Inventors: Kazuo NAKAHARA, Ken MARUYAMA
  • Publication number: 20130285182
    Abstract: There is provided a photosensitive transparent composition for a color filter of a solid-state imaging device, containing (A) a photopolymerization initiator, (B) a polymerizable compound, and (C) an alkali-soluble resin, wherein the cured film obtained from the photosensitive transparent composition has a refractive index of 1.60 to 1.90 for light at a wavelength of 633 nm.
    Type: Application
    Filed: June 21, 2013
    Publication date: October 31, 2013
    Inventors: Toshiyuki SAIE, Shinichi KANNA, Makoto KUBOTA, Yuzo NAGATA
  • Patent number: 8569398
    Abstract: A fully curable jettable composition having a viscosity less than 30 cps at a temperature within the range of 15° C.-180° C. comprising (i) at least one low viscosity reactive resin; (ii) at least one higher viscosity resin having a viscosity greater than twice that of the low viscosity resin and a functionality of greater than or equal to 2; (iii) at least one curable toughener, (iv) at least one initiator for the polymerization of the resins, and (v) at least one stabilizer for delaying the curing of the resins of the composition. The composition can be jetted from piezo electric printing heads under the control of a computer program to form a multi-layered article, for example, a three dimensional article, in which the adjacent droplets merge and are cured homogeneously together.
    Type: Grant
    Filed: December 9, 2010
    Date of Patent: October 29, 2013
    Assignee: 3D Systems, Inc.
    Inventors: Ranjana C. Patel, Yong Zhao, Richard John Peace
  • Publication number: 20130280657
    Abstract: A photoresist composition includes a polymer component that includes a first structural unit represented by the formula (1) and a second structural unit represented by the formula (2), an acid generator, and a compound represented by the formula (3). The first structural unit and the second structural unit are included in an identical polymer, or different polymers. R1 is hydrogen atom, fluorine atom, etc., R2 and R3 are independently hydrogen atom, fluorine atom, etc., a is an integer from 1 to 6, R4 and R5 independently hydrogen atom, fluorine atom, etc., R6 is hydrogen atom, fluorine atom, etc., R7 and R8 are each independently alkyl group having 1 to 4 carbon atoms, etc., R9 is alkyl group having 1 to 4 carbon atoms, etc., R10 is hydrogen atom, etc., A? is —N?—SO2—Ra, etc., and X+ is onium cation.
    Type: Application
    Filed: March 28, 2013
    Publication date: October 24, 2013
    Inventors: Kazuki KASAHARA, Norihiko IKEDA
  • Publication number: 20130280658
    Abstract: A radiation-sensitive composition includes a compound represented by a formula (1), and a polymer having a structural unit that includes an acid-labile group. In the formula (1), R1 represents a group having a polar group; n is an integer of 1 to 4, wherein, in a case where R1 is present in a plurality of number, the plurality of R1s are identical or different, and optionally at least two R1s taken together represent a cyclic structure; A represents an alicyclic hydrocarbon group having a valency of (n+1); and M+ represents a monovalent onium cation.
    Type: Application
    Filed: May 24, 2013
    Publication date: October 24, 2013
    Applicant: JSR CORPORATION
    Inventor: Ken MARUYAMA
  • Publication number: 20130266900
    Abstract: The invention relates to a photosensitive element comprising a support film and a photosensitive layer derived from a photosensitive resin composition formed on the support film, wherein the support film haze is 0.01-1.5%, the total number of particles with diameters of 5 ?m and larger and aggregates with diameters of 5 ?m or larger in the support film is no greater than 5/mm2, the photosensitive layer contains a binder polymer, a photopolymerizable compound with an ethylenically unsaturated bond and a photopolymerization initiator, and the thickness T of the photosensitive layer and the absorbance A of the photosensitive layer at 365 nm satisfies the relationship represented by inequality (I): 0.001?A/T?0.020??(I).
    Type: Application
    Filed: December 15, 2011
    Publication date: October 10, 2013
    Applicant: HITACHI CHEMICAL COMPANY, LTD.
    Inventor: Masao Kubota
  • Publication number: 20130260315
    Abstract: A radiation-sensitive resin composition includes a polymer component that includes one or more types of polymers, and a radiation-sensitive acid generator. At least one type of the polymer of the polymer component includes a first structural unit represented by a following formula (1). R1 represents a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group. R2 represents a linear alkyl group having 5 to 21 carbon atoms. Z represents a divalent alicyclic hydrocarbon group or an aliphatic heterocyclic group having a ring skeleton which has 4 to 20 atoms. A part or all of hydrogen atoms included in the alicyclic hydrocarbon group and the aliphatic heterocyclic group represented by Z are not substituted or substituted.
    Type: Application
    Filed: May 30, 2013
    Publication date: October 3, 2013
    Inventors: Mitsuo SATO, Takehiko NARUOKA
  • Publication number: 20130260316
    Abstract: A radiation-sensitive resin composition includes a compound represented by a formula (1), and a base polymer. A represents —CO— or —CH2—. R1 represents a hydrocarbon group having 1 to 30 carbon atoms, a heterocyclic group having 3 to 30 ring atoms, or a combination of a first group and a second group. The first group is —CO—, —COO—, —OCO—, —O—CO—O—, —NHCO—, —CONH—, —NH—CO—O—, —O—CO—NH—, —NH—, —S—, —SO—, —SO2—, —SO2—O— or a combination thereof, and the second group is a hydrocarbon group having 1 to 30 carbon atoms, a heterocyclic group having 3 to 30 ring atoms or a combination thereof. A part or all of hydrogen atoms included in the hydrocarbon group and the heterocyclic group are not substituted or substituted. M+ represents a monovalent cation.
    Type: Application
    Filed: May 30, 2013
    Publication date: October 3, 2013
    Inventor: Ken MARUYAMA
  • Publication number: 20130252171
    Abstract: A resist composition including a base component (A) which exhibits changed solubility in a developing solution under the action of acid and an acid-generator component (B) which generates acid upon exposure, the base component (A) containing a polymeric compound (A1) including a structural unit (A) represented by general formula (a0-1) and a structural unit (a1) containing an acid decomposable group which exhibits increased polarity by the action of acid (R1 represents a hydrogen atom, an alkyl group of 1 to 5 carbon atoms or a halogenated alkyl group of 1 to 5 carbon atoms; W represents —COO—, a —CONH— group or a divalent aromatic hydrocarbon group; Y1 and Y2 represent a divalent linking group or a single bond; represents a hydrogen atom or an alkyl group of 1 to 6 carbon atoms; R?2 represents a monovalent aliphatic hydrocarbon group; and R2 represents an —SO2— containing cyclic group).
    Type: Application
    Filed: March 19, 2013
    Publication date: September 26, 2013
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Tomoyuki Hirano, Makiko Irie, Kotaro Endo, Tsuyoshi Kurosawa
  • Publication number: 20130244180
    Abstract: Provided are photoresist overcoat compositions, substrates coated with the overcoat compositions and methods of forming electronic devices by a negative tone development process. The compositions, coated substrates and methods find particular applicability in the manufacture of semiconductor devices.
    Type: Application
    Filed: September 9, 2012
    Publication date: September 19, 2013
    Applicant: Rohm and Haas Electronic Material LLC
    Inventors: Young Cheol Bae, Rosemary Bell, Jong Keun Park, Seung-Hyun Lee
  • Publication number: 20130244176
    Abstract: A resist composition including a base component (A) which exhibits changed solubility in a developing solution under action of acid, an acid-generator component (B) which generates acid upon exposure and an organic solvent component (S), the organic solvent component (S) containing an organic solvent (S1) including a compound represented by general formula (s-1) shown below and the acid-generator component (B) being an onium salt (in the formula, X represents a single bond or an alkylene group of 1 to 3 carbon atoms; and n represents an integer of 0 to 3).
    Type: Application
    Filed: February 26, 2013
    Publication date: September 19, 2013
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventor: Naoto Motoike
  • Publication number: 20130244182
    Abstract: The present invention relates to a photosensitive composition including an acrylate-based compound having an adamantyl structure. It is possible to manufacture an organic thin film that is easily stripped without decreasing the strength of the thin film by using the photosensitive composition.
    Type: Application
    Filed: November 17, 2011
    Publication date: September 19, 2013
    Applicant: LG CHEM, LTD.
    Inventors: Keon Woo Lee, Sang Kyu Kwak, Changsoon Lee, Hyehyeon Kim
  • Publication number: 20130244181
    Abstract: Polymers, methods of use thereof, and methods of decomposition thereof, are provided. One exemplary polymer, among others, includes, a photodefinable polymer having a sacrificial polymer and a photoinitiator.
    Type: Application
    Filed: May 7, 2013
    Publication date: September 19, 2013
    Applicant: Georgia Tech Research Corporation
    Inventors: Paul A. Kohl, Sue Ann Bidstrup-Alllen, Clifford Lee Henderson
  • Publication number: 20130236832
    Abstract: There is disclosed an acid generator generating a sulfonic acid represented by the following general formula (1) in response to high-energy beam or heat: As a result, there is provided a novel acid generator which is suitably used as an acid generator for a resist composition, which solves the problems of exposure margin and MEF particularly without degradation of resolution and can be effectively and widely used, a chemically amplified resist composition using the same, and a patterning process.
    Type: Application
    Filed: February 19, 2013
    Publication date: September 12, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Masaki OHASHI, Takayuki NAGASAWA, Ryosuke TANIGUCHI
  • Publication number: 20130236833
    Abstract: Developable bottom antireflective coating compositions are provided.
    Type: Application
    Filed: April 26, 2013
    Publication date: September 12, 2013
    Applicant: AZ ELECTRONIC MATERIALS USA CORP.
    Inventors: Francis HOULIHAN, Lin ZHANG, Alberto DIOSES, Meng LI
  • Patent number: 8529803
    Abstract: A curable coloring composition includes a quinophthalone dye of Formula (1). A color filter employing the composition, a method for producing the color filter, and a quinophthalone dye of Formula (2) are also described. The curable coloring composition and color filter have good color hue, high transmittance properties, high light fastness and heat fastness, and excellent stability over time and solvent resistance.
    Type: Grant
    Filed: March 19, 2010
    Date of Patent: September 10, 2013
    Assignee: FUJIFILM Corporation
    Inventors: Yoshihiko Fujie, Yuuki Mizukawa, Shinichi Kanna, Kazuya Oota
  • Publication number: 20130230804
    Abstract: A pattern-forming method includes providing a resist film on a substrate using a radiation-sensitive composition. The resist film is exposed. The exposed resist film is developed using a developer solution. The developer solution includes no less than 80% by mass of an organic solvent. The radiation-sensitive composition includes at least two components including a first polymer and a radiation-sensitive acid generator. The first polymer includes a structural unit having an acid-labile group. One or more components of the radiation-sensitive composition have a group represented by a formula (1). A? represents —N?—SO2—RD, —COO?, —O? or —SO3?. —SO3? does not directly bond to a carbon atom having a fluorine atom. RD represents a linear or branched monovalent hydrocarbon group, or the like. X+ represents an onium cation.
    Type: Application
    Filed: April 19, 2013
    Publication date: September 5, 2013
    Applicant: JSR Corporation
    Inventors: Hirokazu SAKAKIBARA, Masafumi HORI, Taiichi FURUKAWA, Koji ITO
  • Publication number: 20130230803
    Abstract: A resist pattern-forming method includes coating a radiation-sensitive resin composition on a substrate to provide a resist film. The resist film is exposed. The exposed resist film is developed with a developer solution including no less than 80% by mass of an organic solvent. The radiation-sensitive resin composition includes a base polymer, a fluorine-atom-containing polymer, a radiation-sensitive acid generator, a solvent, and a compound. The base polymer has an acid-labile group. The fluorine-atom-containing polymer has a content of fluorine atoms higher than a content of fluorine atoms of the base polymer. The compound has a relative permittivity greater than a relative permittivity of the solvent by at least 15. A content of the compound is no less than 10 parts by mass and no greater than 200 parts by mass with respect to 100 parts by mass of the base polymer.
    Type: Application
    Filed: April 19, 2013
    Publication date: September 5, 2013
    Applicant: JSR Corporation
    Inventors: Koji ITO, Hirokazu SAKAKIBARA, Masafumi HORI, Taiichi FURUKAWA
  • Publication number: 20130224659
    Abstract: A sulfonic acid anion-containing polymer having an alkylsulfonium cation not in covalent bond thereto can be readily prepared by reacting a sulfonic acid anion-containing polymer having an ammonium or metal cation with an alkylsulfonium salt under mild conditions. A resist composition comprising the inventive polymer is effective for suppressing acid diffusion since the sulfonium salt is bound to the polymer backbone. When processed by the ArF lithography, the polymer exhibits a lower absorption at the exposure wavelength than the triarylsulfonium salt form PAGs, resulting in improved resolution, mask fidelity, and LWR.
    Type: Application
    Filed: February 15, 2013
    Publication date: August 29, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Shin-Etsu Chemical Co., Ltd.
  • Publication number: 20130224656
    Abstract: A resist composition including a base component which generates acid upon exposure and exhibits changed solubility in a developing solution under action of acid, and an organic solvent component, the base component containing a resin component having a structural unit which generates acid, and the organic solvent component containing an organic solvent component including a compound represented by general formula (s-1) shown below in which X represents a single bond or an alkylene group of 1 to 3 carbon atoms; and n represents an integer of 0 to 3.
    Type: Application
    Filed: February 14, 2013
    Publication date: August 29, 2013
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventor: Tokyo Ohka Kogyo Co., Ltd.
  • Publication number: 20130224666
    Abstract: A resist pattern-forming method includes coating a radiation-sensitive resin composition on a substrate to provide a resist film. The resist film is exposed. The exposed resist film is developed using a developer solution including no less than 80% by mass of an organic solvent. The radiation-sensitive resin composition includes a polymer and a radiation-sensitive acid generator. The polymer has a weight average molecular weight in terms of the polystyrene equivalent of greater than 6,000 and includes a first structural unit that includes an acid-labile group. The polymer includes less than 5 mol % or 0 mol % of a second structural unit that includes a hydroxyl group.
    Type: Application
    Filed: April 12, 2013
    Publication date: August 29, 2013
    Applicant: JSR CORPORATION
    Inventor: JSR Corporation
  • Publication number: 20130224660
    Abstract: A sulfonic acid anion-containing polymer having a triarylsulfonium cation is prepared by (1) preparing a sulfonic acid anion-containing polymer having an ammonium or metal cation not bound thereto, (2) purifying the polymer by water washing or crystallization, and (3) then reacting the polymer with a triarylsulfonium salt. A resist composition comprising the inventive polymer is effective for controlling acid diffusion since the sulfonium salt is bound to the polymer backbone.
    Type: Application
    Filed: February 15, 2013
    Publication date: August 29, 2013
    Applicant: Shin-Etsu Chemical Co., Ltd.
    Inventor: Shin-Etsu Chemical Co., Ltd.
  • Publication number: 20130224661
    Abstract: A pattern-forming method includes coating a radiation-sensitive resin composition on a substrate to provide a resist film. The resist film is exposed. The exposed resist film is developed. A developer solution used in developing the exposed resist film includes no less than 80% by mass of an organic solvent. The radiation-sensitive resin composition includes a first polymer and a radiation-sensitive acid generator. The first polymer includes a first structural unit having an acid-labile group and an alicyclic group. The alicyclic group is capable of avoiding dissociation from a molecular chain by an action of an acid.
    Type: Application
    Filed: April 3, 2013
    Publication date: August 29, 2013
    Applicant: JSR CORPORATION
    Inventor: JSR CORPORATION
  • Patent number: 8519017
    Abstract: A catalyst precursor resin composition includes an organic polymer resin; a fluorinated-organic complex of silver ion; a monomer having multifunctional ethylene-unsaturated bonds; a photoinitiator; and an organic solvent. The metallic pattern is formed by forming catalyst pattern on a base using the catalyst precursor resin composition reducing the formed catalyst pattern, and electroless plating the reduced catalyst pattern. In the case of forming metallic pattern using the catalyst precursor resin composition, a compatibility of catalyst is good enough not to make precipitation, chemical resistance and adhesive force of the formed catalyst layer are good, catalyst loss is reduced during wet process such as development or plating process, depositing speed is improved, and thus a metallic pattern having good homogeneous and micro pattern property may be formed after electroless plating.
    Type: Grant
    Filed: May 15, 2008
    Date of Patent: August 27, 2013
    Assignee: LG Chem, Ltd.
    Inventors: Min Kyoun Kim, Min Jin Ko, Sang Chul Lee, Jeong Im Roh
  • Publication number: 20130216950
    Abstract: A lithographic printing plate precursor includes a support, an undercoat layer and an image-recording layer in this order, in which by exposing imagewise the image-recording layer with laser and then supplying at least any of printing ink and dampening water on a cylinder of a printing machine, an unexposed area of the image-recording layer can be removed, and the image-recording layer contains (A) a polymerization initiator, (B) a polymerizable compound and (C) a binder polymer, and the undercoat layer contains the copolymer (D1) as defined herein and the copolymer (D2) as defined herein and a weight of the copolymer (D1) is from 5 to 95% based on a total weight of the copolymers (D1) and (D2).
    Type: Application
    Filed: March 28, 2013
    Publication date: August 22, 2013
    Applicant: FUJIFILM CORPORATION
    Inventor: FUJIFILM CORPORATION
  • Publication number: 20130216951
    Abstract: A radiation-sensitive resin composition includes a polymer, an acid generating agent, and an organic solvent. The polymer includes a first structural unit derived from a compound represented by a formula (1), and a second structural unit derived from a compound represented by a formula (2). R1 represents an organic group having a valency of (a+2) that represents a ring structure having 3 to 8 carbon atoms together with the carbon atom constituting a lactone ring. R2 represents a fluorine atom, a hydroxyl group, an organic group having 1 to 20 carbon atoms or the like.
    Type: Application
    Filed: March 29, 2013
    Publication date: August 22, 2013
    Applicant: JSR CORPORATION
    Inventor: JSR Corporation
  • Publication number: 20130209937
    Abstract: According to the present invention, there is provided a sulfonate resin having a repeating unit of the following general formula (3): where X each independently represents a hydrogen atom or a fluorine atom; n represents an integer of 1 to 10; R1 represents a hydrogen atom, a halogen atom, a C1-C3 alkyl group or a C1-C3 fluorine-containing alkyl group; R2 represents either RAO or RBRCN; and M+ represents a monovalent cation. The sulfonate resin has an onium sulfonate incorporated in a side chain thereof with an anion moiety of the sulfonate salt fixed to the resin and can suitably be used as a resist resin having a high solubility in propylene glycol monomethyl ether acetate.
    Type: Application
    Filed: October 5, 2011
    Publication date: August 15, 2013
    Applicant: CENTRAL GLASS COMPANY, LIMITED
    Inventors: Ryozo Takihana, Satoru Narizuka
  • Publication number: 20130209754
    Abstract: This invention relates generally to silicon based photoresist compositions that can be used in forming low k dielectric constant materials suitable for use in electronic devices, methods of their use and the electronic devices made therefrom.
    Type: Application
    Filed: February 9, 2012
    Publication date: August 15, 2013
    Applicant: AZ ELECTRONIC MATERIALS USA CORP.
    Inventors: Ruzhi ZHANG, Jihoon KIM, Bharatkumar K. PATEL, Elizabeth WOLFER
  • Publication number: 20130209935
    Abstract: A polymer is prepared from an adamantane methacrylate monomer whose alcoholic hydroxyl group is protected with an alicyclic-containing tertiary alkyl group. A photoresist composition comprising the polymer displays a high sensitivity and a high dissolution contrast during both alkaline development and organic solvent development.
    Type: Application
    Filed: February 8, 2013
    Publication date: August 15, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: SHIN-ETSU CHEMICAL CO., LTD.
  • Publication number: 20130209936
    Abstract: A pattern is formed by coating a first positive resist composition comprising a copolymer comprising lactone-containing recurring units, acid labile group-containing recurring units and carbamate-containing recurring units, and a photoacid generator onto a substrate to form a first resist film, patternwise exposure, PEB, and development to form a first resist pattern, heating the first resist pattern for inactivation to acid, coating a second positive resist composition comprising a C3-C8 alcohol and an optional C6-C12 ether onto the first resist pattern-bearing substrate to form a second resist film, patternwise exposure, PEB, and development to form a second resist pattern.
    Type: Application
    Filed: March 15, 2013
    Publication date: August 15, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: SHIN-ETSU CHEMICAL CO., LTD.
  • Publication number: 20130210236
    Abstract: The present invention provides a silicon-containing surface modifier wherein the modifier contains one or more of a repeating unit shown by the following general formula (A) and a partial structure shown by the following general formula (C).The present invention has an object to provide a resist underlayer film applicable not only to a negatively developed resist pattern formed by a hydrophilic organic compound but also to a conventional positively developed resist pattern formed by a hydrophobic compound.
    Type: Application
    Filed: January 22, 2013
    Publication date: August 15, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Shin-Etsu Chemical Co., Ltd.
  • Publication number: 20130209938
    Abstract: A resist composition according to the present invention includes at least a base resin, a photoacid generator and a solvent, wherein the photoacid generator comprises a fluorine-containing sulfonic acid salt of the following general formula (4). In the formula, X independently represents a hydrogen atom or a fluorine atom; n represents an integer of 1 to 6; R1 represents a hydrogen atom, or an alkyl, alkenyl, oxoalkyl, aryl or aralkyl group; any of hydrogen atoms on carbons in R1 may be substituted with a substituent; R2 represents RAO or RBRCN; and A represents a divalent group. This fluorine-containing sulfonic acid salt can serve as a photoacid generator having high solubility in a resist solvent and thus can suitably be used for a resist composition such that the resist composition shows high resolution, wide DOF, small LER and high sensitivity to form a good pattern shape in lithographic processes.
    Type: Application
    Filed: October 14, 2011
    Publication date: August 15, 2013
    Applicant: Central Glass Company, Ltd.
    Inventors: Ryozo Takihana, Satoru Narizuka
  • Publication number: 20130209922
    Abstract: A polymer comprising recurring units having an acid-eliminatable group on a side chain and aromatic ring-bearing cyclic olefin units is used to formulate a chemically amplified negative resist composition. Any size shift between the irradiated pattern and the formed resist which can arise in forming a pattern including isolated feature and isolated space portions is reduced, and a high resolution is obtained.
    Type: Application
    Filed: February 13, 2012
    Publication date: August 15, 2013
    Inventors: Keiichi MASUNAGA, Satoshi WATANABE, Yoshio KAWAI, Luisa BOZANO, Ratnam SOORIYAKUMARAN
  • Publication number: 20130209934
    Abstract: A copolymer comprising the polymerized product of an electron-sensitizing acid deprotectable monomer, such as the monomer having the formula (XX), and a comonomer: wherein Ra is H, F, —CN, C1-10 alkyl, or C1-10 fluoroalkyl; Rx and Ry are each independently a substituted or unsubstituted C1-10 alkyl group or C3-10 cycloalkyl group; Rz is a substituted or unsubstituted C6-20 aromatic-containing group or C6-20 cycloaliphatic-containing group; wherein Rx and Ry together optionally form a ring; and wherein at least one of Rx, Ry and Rz is halogenated. A photoresist and coated substrate comprising the copolymer, and a method of making an electronic device using the photoresist, are also disclosed.
    Type: Application
    Filed: January 29, 2013
    Publication date: August 15, 2013
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventors: Owendi Ongayi, James W. Thackeray
  • Publication number: 20130203000
    Abstract: A radiation-sensitive resin composition includes a polymer component, a radiation-sensitive acid generating agent, and a nitrogen-containing compound having a ring structure. The polymer component includes, in an identical polymer or different polymers, a first structural unit represented by a formula (1) and a second structural unit represented by a formula (2). R1 represents a hydrogen atom or a methyl group. Z is a group which represents a divalent monocyclic alicyclic hydrocarbon group taken together with R2. R2 represents a carbon atom. R3 represents a methyl group or an ethyl group. R4 represents a hydrogen atom or a methyl group. X is a group which represents a divalent bridged alicyclic hydrocarbon group having no less than 10 carbon atoms taken together with R5. R5 represents a carbon atom. R6 represents a branched alkyl group having 3 or 4 carbon atoms.
    Type: Application
    Filed: March 14, 2013
    Publication date: August 8, 2013
    Applicant: JSR CORPORATION
    Inventor: JSR CORPORATION
  • Publication number: 20130196267
    Abstract: An infrared sensitive, positive-working, image forming composition and element are disclosed. The image forming composition comprises a 1,1-di[(alkylphenoxy)ethoxy]cyclohexane, an infrared absorbing dye having a maximum absorption peak in the range of from about 700 nm to about 1100 nm, and a novolac polymer. The composition is applied and dried on a planar, hydrophilic substrate to form an image forming element, in particular, a planographic printing plate. Upon imagewise exposure to a near-infrared radiation source, the infrared dye absorbs light in the exposed areas and converts it to heat, which causes a disruption in the matrix of the image forming composition. Upon development with an aqueous alkaline developer, the exposed areas are removed while the nonexposed areas remain, thus forming a positive image.
    Type: Application
    Filed: January 30, 2012
    Publication date: August 1, 2013
    Inventors: Stephan J. W. Platzer, James A. Bonham, Kimberly R. Kukla, Richard C. Wax, Teresa Baker, Ella Ross Ryan
  • Patent number: 8492071
    Abstract: A curable composition containing (A) a polymerizable compound having an alkyleneoxy group having two or more carbon atoms, (B) a polymerizable compound lacking an alkyleneoxy group having two or more carbon atoms, (C) an i-line absorber, (D) a photopolymerization initiator, (E) an alkali-soluble resin, and (F) a pigment, wherein a mass ratio [(A)/((A)+(B))] is from 0.5 to 0.9, and an i-line transmittance obtainable when the curable composition is formed into a coating film having a thickness of 0.7 ?m, is 10% or less. The curable composition of the invention has enhanced adhesiveness upon forming a micro pattern, and can reduce development residues in unexposed non-cured areas. The curable composition of the invention is suitable for the use in color filters.
    Type: Grant
    Filed: March 27, 2009
    Date of Patent: July 23, 2013
    Assignee: FUJIFILM Corporation
    Inventor: Hiroshi Taguchi
  • Publication number: 20130183622
    Abstract: A positive-working lithographic printing plate precursor has an outermost imageable layer that is present at a dry coverage weight of at least 0.7 g/m2 and up to and including 1.6 g/m2 and comprises a primary polymeric binder comprising recurring units of a hydroxyaryl acetal or a hydroxyaryl ester, or recurring units of both a hydroxyaryl acetal and a hydroxyaryl ester. The outermost imageable layer also contains an alkali-soluble secondary vinyl polymer that is not a primary polymeric binder and a non-polymeric polyhydric phenol. These precursors comprise an infrared radiation absorber to make them sensitive and imageable using infrared radiation. After imaging, the precursors can be processed (developed) using an relatively low pH developer such as a silicate- or metasilicate-free developer.
    Type: Application
    Filed: January 12, 2012
    Publication date: July 18, 2013
    Inventors: Helena Chechik, Larisa Postel, Tanya Kurtser, Marina Rubin, Moshe Levanon
  • Publication number: 20130177852
    Abstract: Disclosed are a hydrophilic photoacid generator prepared by copolymerization of a first (meth)acrylic acid ester having a structure represented by the following Formula 1 or 2, with a polymerizable monomer selected from the group consisting of a second (meth)acrylic acid ester, an olefin-based compound and a mixture thereof, each of which contains a functional group selected from the group consisting of a hydroxyl group, a carboxyl group, a lactone group, a nitrile group and a halogen group: wherein respective substituents are defined in the specification, and a resist composition comprising the same. The hydrophilic photoacid generator is uniformly dispersed in a resist film, thus improving a line edge roughness of resist patterns.
    Type: Application
    Filed: December 13, 2012
    Publication date: July 11, 2013
    Applicant: KOREA KUMHO PETROCHEMICAL CO., LTD.
    Inventor: KOREA KUMHO PETROCHEMICAL CO., LTD.
  • Publication number: 20130177853
    Abstract: A method of forming a resist pattern, including: step (1) in which a resist composition containing a base component (A) that exhibits increased solubility in an alkali developing solution and a compound represented by general formula (C1) is applied to a substrate to form a resist film, step (2) in which the resist film is subjected to exposure, step (3) in which baking is conducted after step (2), and step (4) in which the resist film is subjected to an alkali development, thereby forming a negative-tone resist pattern; and the resist composition used in step (1): wherein R1 represents a group which forms an aromatic ring together with the two carbon atoms bonded to the R1 group; R2 represents a hydrogen atom or a hydrocarbon group; and R3 represents a hydrogen atom, a carboxy group or a hydrocarbon group of 1 to 15 carbon atoms.
    Type: Application
    Filed: January 2, 2013
    Publication date: July 11, 2013
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventor: Tokyo Ohka Kogyo Co., Ltd.
  • Publication number: 20130177850
    Abstract: A positive type resist composition for use in liquid immersion exposure comprises: (A) a resin having a monocyclic or polycyclic cycloaliphatic hydrocarbon structure, the resin increasing its solubility in an alkali developer by an action of acid; (B) a compound generating acid upon irradiation with one of an actinic ray and a radiation; (C) an alkali soluble compound having an alkyl group of 5 or more carbon atoms; and (D) a solvent.
    Type: Application
    Filed: March 1, 2013
    Publication date: July 11, 2013
    Applicant: FUJIFILM CORPORATION
    Inventor: FUJIFILM Corporation
  • Publication number: 20130177854
    Abstract: A resist composition including a base component (A) which exhibits changed solubility in a developing solution, and an acidic compound component (J) which is decomposed by exposure to exhibit decreased acidity, wherein the acidic compound component (J) contains a compound represented by formula (J1) [in the formula, R1 represents H, OH, halogen atom, alkoxy group, hydrocarbon group or nitro group; m represents 0-4; n represents 0-3; Rx represents H or hydrocarbon group; X1 represents divalent linking group; X2 represents H or hydrocarbon group; Y represents single bond or C(O); A represents alkylene group which may be substituted with oxygen atom, carbonyl group or alkylene group which may have fluorine atom; Q1 and Q2 represents F or fluorinated alkyl group; and W+ represents primary, secondary or tertiary ammonium coutercation which exhibits pKa smaller than pKa of H2N+(X2)—X1—Y—O-A-C(Q1)(Q2)—SO3? generated by decomposition upon exposure].
    Type: Application
    Filed: January 10, 2013
    Publication date: July 11, 2013
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventor: Tokyo Ohka Kogyo Co., Ltd.
  • Publication number: 20130171562
    Abstract: An actinic ray- or radiation-sensitive resin composition according to the present invention comprises a sulfonic acid-generating compound that is decomposed by an action of an acid to generate a sulfonic acid having a volume of 240 ?3 or more and a compound that generates the acid when exposed to actinic rays or radiation.
    Type: Application
    Filed: December 29, 2011
    Publication date: July 4, 2013
    Applicant: FUJIFILM Corporation
    Inventors: Tomotaka TSUCHIMURA, Takayuki Ito
  • Publication number: 20130171567
    Abstract: A photoacid generator includes those of formula (I): wherein each Ra in formula 1 is independently H, F, a C1-10 nonfluorinated organic group, C1-10 fluorinated organic group, or a combination comprising at least one of the foregoing, provided at least one Ra is F or a C1-10 fluorinated organic group, the C1-10 fluorinated and nonfluorinated organic groups each optionally comprising O, S, N, or a combination comprising at least one of the foregoing heteroatoms; L1 is a linking group comprising a heteroatom comprising O, S, N, F, or a combination comprising at least one of the foregoing; G+ is an onium salt of the formula (II): wherein in formula (II), X is S or I, each R0 is independently C1-30 alkyl group; a polycyclic or monocyclic C3-30 cycloalkyl group; a polycyclic or monocyclic C4-30 aryl group; or a combination comprising at least one of the foregoing, provided at least one R0 is substituted where each R0 is a C6 monocyclic aryl group, and wherein when X is I, a is 2, and where X is S, a is 3,
    Type: Application
    Filed: December 12, 2012
    Publication date: July 4, 2013
    Applicant: ROHM AND HAAS ELECTRONIC MATERIALS LLC
    Inventor: Rohm and Haas Electronic Materials LLC
  • Publication number: 20130171565
    Abstract: Disclosed is an organic antireflective film composition which includes a monomer containing two or more thiol groups and a monomer containing two or more vinyl groups, as crosslinking agents. When the organic antireflective film composition is used, an antireflective film formed from the composition can be rapidly etched in an ultrafine pattern forming process, and the curing rate can be increased, while the etching rate is increased, without using an acid generator and a curing agent or by using the agents only in small amounts.
    Type: Application
    Filed: October 15, 2012
    Publication date: July 4, 2013
    Applicant: KOREA KUMHO PETROCHEMICAL CO., LTD.
    Inventor: KOREA KUMHO PETROCHEMICAL CO., LTD.
  • Publication number: 20130171566
    Abstract: An embodiment of the invention discloses an alkaline soluble resin and a method for preparing the same. The chemical formula of this alkaline soluble resin is shown in Formula I: wherein a is an integer of 1 to 5, b is an integer of 1 to 5, c is an integer of 1 to 10, d is an integer of 1 to 10, m is an integer of 1 to 30, n is an integer of 1 to 10, R is hydrogen, alkyl, alkoxy or acrylate; the alkaline soluble resin has a weight-average molecular weight of 3,500-35,000. The invention also discloses a light sensible resin composition comprising the alkaline soluble resin, as well as a colored photoresist for color filters comprising the aforementioned light sensible resin composition and a display element comprising this colored photoresist.
    Type: Application
    Filed: July 13, 2012
    Publication date: July 4, 2013
    Applicant: BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Shi Shu, Chunmiao Zhou, Jianshe Xue, Jisheng Zhao, Xuelan Wang, Xiaoxiong Tian
  • Publication number: 20130164679
    Abstract: A curable resin composition comprises: (I) 100 parts by weight of a curable resin; (II) 10 to 1200 parts by weight of an inorganic filler; and (III) 1 to 100 parts by weight of an organic filler having an elastic modulus of 1 to 2000 (MPa) and an average particle diameter of 0.01 to 10 v, wherein a content weight ratio of the components (II) and (III) is 1 to 41.
    Type: Application
    Filed: February 15, 2013
    Publication date: June 27, 2013
    Applicant: SAN-EI KAGAKU CO., LTD.
    Inventor: SAN-EI KAGAKU CO., LTD.
  • Publication number: 20130164678
    Abstract: Disclosed are a photosensitive resin composition for a color filter and a color filter using the same. The photosensitive resin composition for a color filter includes (A) a dye-polymer composite including a structural unit derived from a compound represented by the following Chemical Formula 1; (B) an acrylic-based photopolymerizable monomer; (C) a photopolymerization initiator; and (D) a solvent. In Chemical Formula 1, each substituent is the same as defined in the detailed description.
    Type: Application
    Filed: August 22, 2012
    Publication date: June 27, 2013
    Applicant: CHEIL INDUSTRIES INC.
    Inventors: Seong-Ryong NAM, Taek-Jin BAEK, Yeon-Soo LEE, Chang-Min LEE, Sang-Won CHO, Won-A NOH, Gyu-Seok HAN, Han-Chul HWANG