Forming Nonplanar Surface Patents (Class 430/322)
  • Publication number: 20140338960
    Abstract: A large-area transparent conductive element easy to form a fine pattern includes a substrate having a surface, and transparent conductive portions and transparent insulating portions that are alternately provided on the surface in a planar manner. At least one type of unit section including a random pattern is repeated in at least either the transparent conductive portions or the transparent insulating portions.
    Type: Application
    Filed: January 24, 2013
    Publication date: November 20, 2014
    Inventors: Junichi Inoue, Mikihisa Mizuno
  • Patent number: 8889343
    Abstract: Approaches for utilizing laser annealing to optimize lithographic processes such as directed self assembly (DSA) are provided. Under a typical approach, a substrate (e.g., a wafer) will be subjected to a lithographic process (e.g., having a set of stages/phases, aspects, etc.) such as DSA. Before or during such process, a set of laser annealing passes/scans will be made over the substrate to optimize one or more of the stages. In addition, the substrate could be subjected to additional processes such as hotplate annealing, etc. Still yet, in making a series of laser annealing passes, the techniques utilized and/or beam characteristics of each pass could be varied to further optimize the results.
    Type: Grant
    Filed: December 26, 2012
    Date of Patent: November 18, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Moshe E Preil, Gerard M. Schmid, Richard A. Farrell, Ji Xu, Thomas I. Wallow
  • Publication number: 20140335457
    Abstract: In an immersion lithography apparatus in which immersion liquid is supplied to a localized space, the space is substantially polygonal in plan substantially parallel to the substrate. In an embodiment, two corners of the space have a radius of curvature no greater than the width of a transition zone between the space configured to contain liquid and a surrounding configured not to contain liquid.
    Type: Application
    Filed: July 28, 2014
    Publication date: November 13, 2014
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Jacobus Johannus Leonardus Hendricus VERSPAY, Hans JANSEN, Marco Koert STAVENGA
  • Patent number: 8883937
    Abstract: A cyclic compound represented by formula (1): wherein L, R1, R?, and m are as defined in the specification. The cyclic compound of formula (1) is highly soluble to a safety solvent, highly sensitive, and capable of forming resist patterns with good profile. Therefore, the cyclic compound is useful as a component of a radiation-sensitive composition.
    Type: Grant
    Filed: August 27, 2010
    Date of Patent: November 11, 2014
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Masatoshi Echigo, Hiromi Hayashi
  • Patent number: 8883398
    Abstract: The present application relates to a photoactive compound including an oxime ester group and a phosphonate group together, and a photosensitive resin composition comprising the same, the compound of the present application having excellent storage stability and high-temperature process characteristics.
    Type: Grant
    Filed: May 22, 2013
    Date of Patent: November 11, 2014
    Assignee: LG Chem, Ltd.
    Inventors: Changho Cho, Sunghyun Kim, Han Soo Kim, Sunhwa Kim, Raisa Kharbash, Jongho Park
  • Patent number: 8883396
    Abstract: A resist composition containing a base component (A) which generates an acid upon exposure and exhibits changed solubility in a developing solution by the action of acid, wherein the base component (A) contains a copolymer (A1) having a structural unit (a0) containing a group represented by the following general formula (a0-1) or (a0-2), a structural unit (a11) containing an acid-decomposable group which exhibits increased polarity by the action of acid and contains a polycyclic group, and a structural unit (a12) containing an acid-decomposable group which exhibits increased polarity by the action of acid and contains a monocyclic group. Each of the groups —R3—S+(R4)(R5) and Mm+ in the formula has only one aromatic ring as a whole or has no aromatic ring.
    Type: Grant
    Filed: October 31, 2012
    Date of Patent: November 11, 2014
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Masahito Yahagi, Jun Iwashita
  • Patent number: 8883405
    Abstract: According to one embodiment, a method is disclosed for forming a pattern. The method can include forming a resist film above a subject. The resist film includes a photosensitive material. The resist film has a concentration profile having a concentration of the photosensitive material being higher on a side of a bottom of the resist film than on a side of a surface of the resist film. A portion of the resist film has a maximum concentration of the photosensitive material existing closer to the side of the bottom than a center of the resist film in a thickness direction. The method can include irradiating the resist film with a light from the side of the surface. The method can include developing the resist film after the resist film being irradiated with the light.
    Type: Grant
    Filed: August 27, 2012
    Date of Patent: November 11, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Eishi Shiobara
  • Publication number: 20140327866
    Abstract: A photosensitive resin composition is disclosed. The disclosed photosensitive resin composition includes an acryl-based copolymer formed by copolymerizing i) unsaturated carboxylic acid, unsaturated carboxylic acid anhydride, or a mixture thereof, and ii) an olefin-based unsaturated compound or a mixture thereof, a dissolution inhibitor in which a phenolic hydroxyl group is protected by an acid-degradable acetal or ketal group, a photoacid generator, and a solvent.
    Type: Application
    Filed: February 5, 2014
    Publication date: November 6, 2014
    Applicant: Samsung Display Co., Ltd.
    Inventors: Hoon Kang, Jae-Sung Kim, Jin-Young Choi, Koichi Sugitani, Ki-Hyun Cho, Jin Ho Ju, Byung-Uk Kim, Joo-Pyo Yun, Hyoc-Min Youn
  • Publication number: 20140329175
    Abstract: A photosensitive negative resin composition containing a resin (a) having at least three cyclohexene oxide skeletons in its molecule, an onium salt (b) composed of a cation moiety structure represented by the formula b1 defined in the description and an anion moiety structure represented by the formula b2 defined in the description, a silane compound (c) and an organic solvent (d). A fine structure using the resin composition, a production process of the fine structure and a liquid ejection head.
    Type: Application
    Filed: January 25, 2013
    Publication date: November 6, 2014
    Inventors: Hyou Takahashi, Kyosuke Nagaoka, Masako Shimomura
  • Patent number: 8877428
    Abstract: The present invention relates to a printing element comprising at least one polymer layer on a substrate which has photoimageable constituents and a chemically functionalized polymer to make the polymer layer either more hydrophobic or hydrophilic. In one embodiment of the present invention, the printing element comprises two adjacent polymer layers on a substrate in which the photoimaged layer comprises a polymer chemically modified with hydrophobic fluoroalkyl side groups to provide differential wetting with hydrophilic inks.
    Type: Grant
    Filed: September 4, 2013
    Date of Patent: November 4, 2014
    Assignee: E I du Pont de Nemours and Company
    Inventors: Gary Delmar Jaycox, Graciela Beatriz Blanchet, Nancy G Tassi
  • Patent number: 8877642
    Abstract: Fabricating of one or more semiconductor devices with critical gate dimension control is facilitated by: providing a multilayer stack structure over a substrate; etching through the multilayer stack structure, with critical gate dimension control, to define multiple gate lines; providing a protective layer over the multiple gate lines; and patterning and cutting one or more gate lines of the multiple gate lines to facilitate defining multiple gate structures of the one or more semiconductor devices. Etching through the multilayer stack structure is facilitated by lithographically patterning the multilayer stack structure, and critical dimension feedback control is provided to at least one of the lithographically patterning or the etching through the multilayer stack structure.
    Type: Grant
    Filed: February 1, 2013
    Date of Patent: November 4, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventor: Xiang Hu
  • Publication number: 20140322648
    Abstract: New photoresist compositions are provided that are useful for immersion lithography. Preferred photoresist compositions of the invention comprise one or more materials that can be substantially non-mixable with a resin component of the resist. Further preferred photoresist compositions of the invention comprise 1) Si substitution, 2) fluorine substitution; 3) hyperbranched polymers; and/or 4) polymeric particles. Particularly preferred photoresists of the invention can exhibit reduced leaching of resist materials into an immersion fluid contacting the resist layer during immersion lithography processing.
    Type: Application
    Filed: May 5, 2014
    Publication date: October 30, 2014
    Applicant: ROHM AND HAAS ELECTRONIC MATERIALS LLC
    Inventor: Deyan Wang
  • Patent number: 8871427
    Abstract: There is disclosed a positive resist composition comprising (A) a resin having repeating units shown by the following general formulae (1) and (2) as repeating units that contain acid labile groups and being capable of increasing its alkaline solubility by an acid, (B) a photoacid generator, (C) a compound shown by the following general formula (3), and (D) a solvent. There can be a positive resist composition having high resolution, and at the same time giving an excellent pattern profile; and a patterning process in which an immersion lithography is carried out using a formed top coat.
    Type: Grant
    Filed: June 18, 2012
    Date of Patent: October 28, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Ryosuke Taniguchi, Akihiro Seki, Kenji Funatsu, Katsuhiro Kobayashi
  • Patent number: 8871432
    Abstract: A pattern-forming method includes: (1) a resist underlayer film-forming step of providing a resist underlayer film on an upper face side of a substrate by coating a resist underlayer film-forming composition containing a resin having a phenolic hydroxyl group; (2) a resist pattern-forming step of forming a resist pattern on an upper face side of the resist underlayer film; (3) a pattern-forming step of dry etching at least the resist underlayer film and the substrate, with the aid of the resist pattern as a mask to form a pattern on the substrate; and (4) a resist underlayer film-removing step of removing the resist underlayer film on the substrate with a basic solution, in the order of (1) to (4).
    Type: Grant
    Filed: March 28, 2013
    Date of Patent: October 28, 2014
    Assignee: JSR Corporation
    Inventors: Shin-ya Minegishi, Satoru Murakami, Yushi Matsumura, Kazuhiko Komura, Yoshio Takimoto, Shin-ya Nakafuji, Kyoyu Yasuda
  • Patent number: 8871430
    Abstract: The present invention relates to a photoactive compound having a novel structure and a photosensitive resin composition including the same, and the photoactive compound according to the present invention has excellent sensitivity due to efficient absorption to a UV light source by including a nitro group and a phosphonate structure, and has excellent retention rate, mechanical strength, heat resistance, chemical resistance and developing resistance by improving solubility of the photosensitive resin composition by excellent compatibility of the phosphonate structure and a binder resin. Therefore, the photosensitive resin composition according to the present invention is useful to cure a column spacer, an overcoat, a passivation material and the like of a liquid crystal display device, and is useful in view of a high temperature process property.
    Type: Grant
    Filed: May 14, 2012
    Date of Patent: October 28, 2014
    Assignee: LG Chem, Ltd.
    Inventors: Changho Cho, Won Jin Chung, Raisa Kharbash, Sunghyun Kim, Dongchang Choi, Sang Chul Lee, Han Soo Kim, Yoon Hee Heo, Sunhwa Kim
  • Publication number: 20140315131
    Abstract: An aqueous solution containing 0.1-20 wt % of a benzyltrialkylammonium hydroxide is a useful developer for photosensitive resist materials. When an exposed resist film is developed in the developer, any swell of the resist film during development is suppressed. A resist pattern with minimal edge roughness can be formed while preventing pattern collapse or bridge defect formation.
    Type: Application
    Filed: February 28, 2014
    Publication date: October 23, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Jun Hatakeyama
  • Publication number: 20140315093
    Abstract: A scaffold includes struts that intersect at nodes. In some instances, a cross section of the cores has at least one dimension less than 100 microns. The core can be a solid, liquid or a gas. In some instances, one or more shell layers are positioned on the core.
    Type: Application
    Filed: April 16, 2014
    Publication date: October 23, 2014
    Applicant: California Institute of Technology
    Inventors: Julia R. Greer, Michael Ortiz, Lucas R. Meza, Lauren C. Montemayor, Jiao Wu, Xun W. Gu
  • Patent number: 8865390
    Abstract: A negative pattern is formed by coating a resist composition comprising a polymer comprising recurring units of acid labile group-substituted vinyl alcohol and maleic anhydride and/or maleimide, an acid generator, and an organic solvent onto a substrate, prebaking, exposing to high-energy radiation, and developing in an organic solvent developer such that the unexposed region of resist film is dissolved away and the exposed region of resist film is not dissolved. In image formation via positive/negative reversal by organic solvent development, the resist film is characterized by a high dissolution contrast between the unexposed and exposed regions.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: October 21, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama, Koji Hasegawa
  • Patent number: 8859698
    Abstract: A sulfur-containing macromolecule and a method of preparing the sulfur-containing macromolecule comprising a polymerization step are provided, where the sulfur-containing macromolecule contains internal units of formula (I) and the polymerization step is formula (II) wherein n is greater than 1, said precursor comprises alkyne having one or more acetylene groups and thiol having one or more thiol groups; and R is remainder of said thiol excluding said thiol groups, R? is remainder of said alkyne excluding said acetylene groups, and R and R? are selected from organic or organometallic groups.
    Type: Grant
    Filed: August 6, 2010
    Date of Patent: October 14, 2014
    Assignee: The Hong Kong University of Science and Technology
    Inventors: Benzhong Tang, Ka Wai Jim, Anjun Qin, Wing Yip Lam, Jianzhao Liu
  • Patent number: 8859190
    Abstract: Novel photo-writable and thermally switchable polymeric materials exhibit a refractive index change of ?n?1.0 when exposed to UV light or heat. For example, lithography can be used to convert a non-conjugated precursor polymer to a conjugated polymer having a higher index-of-refraction. Further, two-photon lithography can be used to pattern high-spatial frequency structures.
    Type: Grant
    Filed: September 4, 2013
    Date of Patent: October 14, 2014
    Assignee: Sandia Corporation
    Inventors: Shawn M. Dirk, Ross Stefan Johnson, Robert Boye, Michael R. Descour, William C. Sweatt, David R. Wheeler, Bryan James Kaehr
  • Patent number: 8859181
    Abstract: A chemically amplified negative resist composition is provided comprising (A) an alkali-soluble base polymer, (B) an acid generator, and (C) a nitrogen-containing compound, the base polymer (A) turning alkali insoluble under the catalysis of acid. A polymer having a fluorinated carboxylic acid onium salt on a side chain is included as the base polymer. Processing the negative resist composition by a lithography process may form a resist pattern with advantages including uniform low diffusion of acid, improved LER, and reduced substrate poisoning.
    Type: Grant
    Filed: February 24, 2011
    Date of Patent: October 14, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Keiichi Masunaga, Satoshi Watanabe, Jun Hatakeyama, Youichi Ohsawa, Daisuke Domon
  • Patent number: 8859189
    Abstract: The invention provides a patterning process, comprising at least a step of forming a silicon-containing film on a body to be processed by using a composition for the silicon-containing film, a step of forming, on the silicon-containing film, a photoresist film by using a resist composition, a step of exposing to the photoresist film after heat treatment thereof, and a step of forming a negative pattern by dissolving an unexposed area of the photoresist film by using a developer of an organic solvent; wherein a composition giving the silicon-containing film whose pure-water contact angle in the part corresponding to the exposed area of the photoresist film becomes in the range of 35° or more to lower than 70° after exposure is used as the composition. There can be optimum patterning process as a patterning process of a negative resist pattern to be formed by adopting organic solvent-based development.
    Type: Grant
    Filed: March 26, 2012
    Date of Patent: October 14, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Takafumi Ueda, Toshiharu Yano
  • Patent number: 8852854
    Abstract: According to one exemplary embodiment, a method for forming a photoresist pattern on a semiconductor wafer includes forming a photoresist including an organic polymer matrix on the semiconductor wafer. The method further includes exposing the photoresist to a patterned radiation. The method further includes baking the photoresist after exposing the photoresist to the pattern radiation. The method further includes applying an oxidizing reagent to the photoresist to create the photoresist pattern corresponding to the patterned radiation.
    Type: Grant
    Filed: February 21, 2007
    Date of Patent: October 7, 2014
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thomas Wallow, Uzodinma Okoroanyanwu
  • Patent number: 8851463
    Abstract: Examples of novel semiconductor processing pedestals, and apparatuses including such pedestals, are described. These pedestals are specifically configured to provide uniform heat transfer to semiconductor substrates and to reduce maintenance complexity and/or frequency. Specifically, a pedestal may include a removable cover positioned over a metal platen of the pedestal. The removable cover is configured to maintain a consistent and uniform temperature profile of its substrate-facing surface even though the platen's upper-surface, which supports the cover and is in thermal communication with the cover, may have a much less uniform temperature profile. The cover may be made from certain ceramic materials and shaped as a thin plate. These materials are resistant to the processing environments and maintain their thermal characteristics over many processing cycles. The cover can be easily removed from the platen and replaced with a new one without a need for major disassembly of the entire apparatus.
    Type: Grant
    Filed: January 8, 2013
    Date of Patent: October 7, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: Ivelin Angelov, Brian Severson, Natan Solomon
  • Publication number: 20140295348
    Abstract: Topcoat layer compositions are provided that are applied above a photoresist composition. The compositions find particular applicability to immersion lithography processing.
    Type: Application
    Filed: June 10, 2014
    Publication date: October 2, 2014
    Inventors: Deyan WANG, Chunyi WU
  • Publication number: 20140295355
    Abstract: The present invention provides a holder for holding a substrate, the holder including a base to be received by a table, the base including a first surface arranged to receive the substrate to be held by the holder and a second surface opposite to the first surface, a first support provided on the second surface and arranged to surround a through hole formed in the base and configured to contact the table, a second support provided on the second surface and arranged to surround the first support and to contact the table, and a plurality of first pins provided in a region of the second surface between the second support and an outer edge of the base and arranged to contact the table.
    Type: Application
    Filed: March 26, 2014
    Publication date: October 2, 2014
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Shigeo Koya
  • Publication number: 20140295354
    Abstract: A manufacturing method of microstructure comprises steps of: a motion determination step which determines the motion of a substrate relative to at least a photomask; a microlens determination step which determines the profile of a microlens unit on the substrate; an analysis step which calculates the feature of the photomask according to the motion of the substrate and the profile of the microlens unit by using a numerical analysis method; a production step which produces the photomask according to the feature of the photomask; driving the substrate to do the motion determined in the motion determination step, and meanwhile making a laser light illuminate the substrate through the photomask to manufacture the microlens unit on the substrate by the superposition effect of the laser light; and performing a photolithography process by using the microlens unit to produce a microstructure on a photoresist substrate.
    Type: Application
    Filed: March 26, 2013
    Publication date: October 2, 2014
    Applicant: National Cheng Kung University
    Inventors: Yung-Chun LEE, Chi-Cheng CHIU, Chih-Hao CHANG, Ching-Yun LU
  • Patent number: 8846305
    Abstract: A photolithography method includes coating a photoresist on an active region and an edge region of a wafer, exposing the photoresist on the edge region to first ultraviolet rays, exposing the photoresist on the active region to second ultraviolet rays, depositing a first developing solution on the photoresist on the edge region to remove the photoresist on the edge region, and developing the photoresist on the active region using a second developing solution.
    Type: Grant
    Filed: June 29, 2012
    Date of Patent: September 30, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Eunsung Kim, Kyoungseon Kim, Jaewoo Nam, Chulho Shin, Shiyong Yi
  • Patent number: 8840769
    Abstract: A catalyst precursor resin composition includes an organic polymer resin; a fluorinated-organic complex of silver ion; a monomer having multifunctional ethylene-unsaturated bonds; a photoinitiator; and an organic solvent. The metallic pattern is formed by forming catalyst pattern on a base using the catalyst precursor resin composition reducing the formed catalyst pattern, and electroless plating the reduced catalyst pattern. In the case of forming metallic pattern using the catalyst precursor resin composition, a compatibility of catalyst is good enough not to make precipitation, chemical resistance and adhesive force of the formed catalyst layer are good, catalyst loss is reduced during wet process such as development or plating process, depositing speed is improved, and thus a metallic pattern having good homogeneous and micro pattern property may be formed after electroless plating.
    Type: Grant
    Filed: July 23, 2013
    Date of Patent: September 23, 2014
    Assignee: LG Chem, Ltd.
    Inventors: Min Kyoun Kim, Min Jin Ko, Sang Chul Lee, Jeong Im Roh
  • Publication number: 20140272719
    Abstract: The present disclosure provides methods of fabricating a biochip. The biochip includes a fluidic part, having through-substrate holes as inlets and outlets, and a sensing part bonded together using a bonding material. One or both of the parts has microfluidic channel patterns and one or more patterned surface modification layers formed using different methods to provide surface property for binding bioreceptors and for flowing analytes. The patterning includes lithography, etching, washing, selective depositing using printing or self-assembly of surface chemistry.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yi-Shao LIU, Chun-Wen CHENG, Chun-Ren CHENG
  • Publication number: 20140272691
    Abstract: Photoactive additives are disclosed. The additive includes a benzophenone having at least one substituent that comprises a divalent linker and a linking group, wherein the linking group is a carboxyl group, ester group, or acid halide group. The additive can be a polymer, an oligomer, or a compound. When added to a base polymeric resin, the photoactive additive permits crosslinking upon exposure to ultraviolet light.
    Type: Application
    Filed: March 14, 2014
    Publication date: September 18, 2014
    Applicant: SABIC Innovative Plastics IP B.V.
    Inventors: Jordi Calveras, Jean-Francois Morizur, Paul Dean Sybert
  • Publication number: 20140272673
    Abstract: Block copolymer-based mask structures for the growth of patterned polymer brushes via surface-initiated atom transfer radical polymerization (SI-ATRP) are provided. Also provided are methods of making the mask structures and methods of using the mask structures to grow patterned polymer brushes. The mask structures comprise a substrate having a surface, a neutral layer comprising a crosslinked copolymer film disposed on the surface of the substrate and a domain-forming block copolymer film disposed on the crosslinked copolymer film. The crosslinked copolymer film comprises crosslinked random copolymer chains having pendant alkyl halide functional groups that are capable of acting as ATRP initiating sites.
    Type: Application
    Filed: March 13, 2013
    Publication date: September 18, 2014
    Applicant: Wisconsin Alumni Research Foundation
    Inventor: Wisconsin Alumni Research Foundation
  • Publication number: 20140272720
    Abstract: An extreme ultraviolet lithography method is disclosed. In an example, the EUVL method comprises providing at least two mask areas having a same pattern, forming a resist layer over a substrate, determining an optimized exposure dose based on an exposure dose for a pre-specified pattern on one of the at least two mask areas to achieve a pre-specified target dimension under a corresponding single exposure process, and performing a multiple exposure process for exposing a same area of the resist layer to the same pattern. The multiple exposure process comprises a plurality of exposure processes, wherein each of the plurality of exposure processes uses an exposure dose that is less than the optimized exposure dose and a sum of the exposure dose of each of the plurality of exposure processes is approximately equal to the optimized exposure dose.
    Type: Application
    Filed: June 5, 2013
    Publication date: September 18, 2014
    Inventors: Shinn-Sheng Yu, Anthony Yen, Yen-Cheng Lu
  • Publication number: 20140272721
    Abstract: An extreme ultraviolet lithography (EUVL) process is performed on a target, such as a semiconductor wafer, having a photosensitive layer. The method includes providing a one-dimensional patterned mask along a first direction. The patterned mask includes a substrate including a first region and a second region, a multilayer mirror above the first and second regions, an absorption layer above the multilayer mirror in the second region, and a defect in the first region. The method further includes exposing the patterned mask by an illuminator and setting the patterned mask and the target in relative motion along the first direction while exposing the patterned mask. As a result, an accumulated exposure dose received by the target is an optimized exposure dose.
    Type: Application
    Filed: March 13, 2014
    Publication date: September 18, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: YEN-CHENG LU, SHINN-SHENG YU, JENG-HORNG CHEN, ANTHONY YEN
  • Publication number: 20140272718
    Abstract: A method for being used in a lithography process is provided. The method includes receiving a first mask, a second mask and a substrate with a set of baseline registration marks. A first set of registration marks is formed on the substrate using the first mask and a first exposure tool, and a first set of overlay errors is determined. The first set of registration marks is removed and a second set of registration marks is formed on the substrate using the second mask and a second exposure tool. A second set of overlay errors is determined. A set of tool-induced overlay errors is generated from the first and second sets of overlay errors and used in fabricating a third mask. The third mask can then be used in the lithography process to accommodate the overlay errors caused by different exposure tools, different masks, and different mask writers.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Taiwan Semiconductor Manufacturing Company, Ltd.
  • Publication number: 20140272030
    Abstract: A method and a system for producing a change in a medium. The method places in a vicinity of the medium an energy modulation agent. The method applies an initiation energy to the medium. The initiation energy interacts with the energy modulation agent to directly or indirectly produce the change in the medium. The energy modulation agent has a normal predominant emission of radiation in a first wavelength range outside of a second wavelength range (WR2) known to produce the change, but under exposure to the applied initiation energy produces the change. The system includes an initiation energy source configured to apply an initiation energy to the medium to activate the energy modulation agent.
    Type: Application
    Filed: March 12, 2014
    Publication date: September 18, 2014
    Applicant: IMMUNOLIGHT, LLC.
    Inventors: Frederic Avery Bourke, JR., Zakaryae Fathi, Harold Walder, Wayne F. Beyer, JR.
  • Patent number: 8835103
    Abstract: A photo resist layer includes a first region and a second region. A treatment layer is applied to the photo resist layer.
    Type: Grant
    Filed: July 13, 2012
    Date of Patent: September 16, 2014
    Assignee: Macronix International Co., Ltd.
    Inventor: Chin Cheng Yang
  • Patent number: 8835102
    Abstract: The invention provides a patterning process for forming a negative pattern by lithography, comprising at least the steps of: using a composition for forming silicon-containing film, containing specific silicon-containing compound (A) and an organic solvent (B), to form a silicon-containing film; using a silicon-free resist composition to form a photoresist film on the silicon-containing film; heat-treating the photoresist film, and subsequently exposing the photoresist film to a high energy beam; and using a developer comprising an organic solvent to dissolve an unexposed area of the photoresist film, thereby obtaining a negative pattern. There can be a patterning process, which is optimum as a patterning process of a negative resist to be formed by adopting organic solvent-based development, and a composition for forming silicon-containing film to be used in the process.
    Type: Grant
    Filed: March 9, 2012
    Date of Patent: September 16, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Takafumi Ueda, Toshiharu Yano
  • Publication number: 20140252674
    Abstract: A three-dimensional lattice architecture with a thickness hierarchy includes a first surface and a second surface separated from each other with a distance therebetween defining a thickness of the three-dimensional lattice architecture; a plurality of angled struts extending along a plurality of directions between the first surface and the second surface; a plurality of nodes connecting the plurality of angled struts with one another forming a plurality of unit cells. At least a portion of the plurality of angled struts are internally terminated along the thickness direction of the lattice structure and providing a plurality of internal degrees of freedom towards the first or second surface of the lattice architecture.
    Type: Application
    Filed: June 25, 2013
    Publication date: September 11, 2014
    Inventors: Jacob M. Hundley, Tobias A. Schaedler, Sophia S. Yang, Alan J. Jacobsen
  • Publication number: 20140255827
    Abstract: Pellicles for photomasks used in photolithographic manufacturing are described. A frame of a pellicle may include a recess formed in a side member and a locking member dimensioned to secure a membrane to the frame when the membrane is disposed between the recess and the locking member. A pellicle may be secured to a photomask using non-adhesive attachment members that contact a side surface of the photomask.
    Type: Application
    Filed: March 8, 2013
    Publication date: September 11, 2014
    Applicant: Micro Lithography, Inc.
    Inventor: Ching-Bore Wang
  • Patent number: 8828493
    Abstract: Methods are disclosed for forming a layered structure comprising a self-assembled material. An initial patterned photoresist layer is treated photochemically, thermally, and/or chemically to form a treated patterned photoresist layer comprising a non-crosslinked treated photoresist. The treated photoresist is insoluble in an organic solvent suitable for casting a material capable of self-assembly. A solution comprising the material capable of self-assembly dissolved in the organic solvent is casted on the treated layer, and the organic solvent is removed. The casted material is allowed to self-assemble with optional heating and/or annealing, thereby forming the layered structure comprising the self-assembled material. The treated photoresist can be removed using an aqueous base and/or a second organic solvent.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: September 9, 2014
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, William D. Hinsberg, Charles Thomas Rettner, Daniel Paul Sanders
  • Patent number: 8828642
    Abstract: Disclosed is a positive photosensitive resin composition which is characterized by containing (a) a polysiloxane that is synthesized by hydrolyzing and partially condensing a specific organosilane and an organosilane oligomer, (b) aluminum compound particles, tin compound particles, titanium compound particles, zirconium compound particles, composite particles of the aforementioned compounds or composite particles of any of the aforementioned compounds and a silicon compound, (c) a naphthoquinonediazide compound and (d) a solvent. The positive photosensitive resin composition is also characterized in that the organosilane oligomer contains a specific organosilane. The positive photosensitive resin composition has achieved excellent sensitivity and resolution without deteriorating high refractive index and high transparency.
    Type: Grant
    Filed: September 16, 2010
    Date of Patent: September 9, 2014
    Assignee: Toray Industries, Inc.
    Inventors: Masao Kamogawa, Toru Okazawa, Mitsuhito Suwa
  • Patent number: 8828645
    Abstract: There is disclosed a negative resist composition comprising at least: (A) a base polymer that is alkaline-soluble and is made alkaline-insoluble by action of an acid; (B) an acid generator; and (C) a basic component, wherein the base polymer at least contains a polymer including repeating units represented by the following general formula (1) and general formula (2) and having a weight average molecular weight of 1,000 to 10,000. There can be a negative resist composition hardly causing a bridge in forming a pattern and providing a high resolution and a patterning process using the same.
    Type: Grant
    Filed: October 25, 2013
    Date of Patent: September 9, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Akinobu Tanaka, Keiichi Masunaga, Daisuke Domon, Satoshi Watanabe
  • Patent number: 8821978
    Abstract: A method of forming a layered structure comprising a domain pattern of a self-assembled material utilizes a negative-tone patterned photoresist layer comprising non-crosslinked developed photoresist. The developed photoresist is not soluble in an organic casting solvent for a material capable of self-assembly. The developed photoresist is soluble in an aqueous alkaline developer and/or a second organic solvent. A solution comprising the material capable of self-assembly and the organic casting solvent is casted on the patterned photoresist layer. Upon removal of the organic casting solvent, the material self-assembles, thereby forming the layered structure.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: September 2, 2014
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, William D. Hinsberg, Ho-Cheol Kim, Young-Hye Na, Daniel Paul Sanders, Linda Karin Sundberg, Hoa D. Truong, Gregory Michael Wallraff, Atsuko Ito
  • Patent number: 8822139
    Abstract: A method for providing an ordered polymer layer at a surface of a substrate includes depositing a self-assemblable polymer layer directly onto a primer layer on a substrate to provide an interface between the self-assemblable polymer layer and the primer layer, and treating the self-assemblable polymer layer to provide self-assembly into an ordered polymer layer, such as a block copolymer, having first and second domain types at the interface. The primer layer is adapted to improve its chemical affinity to each domain type at the interface, in response to the presence of the respective domain type in the self-assembled polymer at the interface during the self-assembly of the self-assemblable polymer layer into the ordered polymer layer. This may lead to reduction in defect levels and/or improved persistence length for the ordered polymer layer. The method may be useful for forming resist layers for use in device lithography.
    Type: Grant
    Filed: January 19, 2011
    Date of Patent: September 2, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Emiel Peeters, Sander Frederik Wuister, Roelof Koole
  • Patent number: 8822140
    Abstract: A resist pattern-forming method includes applying a radiation-sensitive resin composition on a substrate to form a resist film. The radiation-sensitive resin composition includes an acid-labile group-containing polymer and a photoacid generator. The resist film is exposed. The resist film is developed using a developer including an organic solvent in an amount of no less than 80% by mass to a total amount of the developer. The radiation-sensitive resin composition has a contrast value ? of from 5.0 to 30.0. The contrast value ? is calculated from a resist dissolution contrast curve obtained by changing only a dose of a light used for exposing the resist film.
    Type: Grant
    Filed: August 8, 2013
    Date of Patent: September 2, 2014
    Assignee: JSR Corporation
    Inventors: Hirokazu Sakakibara, Hiromu Miyata, Koji Ito, Taiichi Furukawa
  • Publication number: 20140242522
    Abstract: In the field of photolithography and, notably, photolithography in the extreme ultraviolet, a photolithography method is provided in which a first mask blank is produced that can have defects, an individual mapping of the positions of the defects of this mask blank is established using an inspection machine, and, for each defect, an exclusion zone is defined around the defect. Then, two complementary masks are produced, one with the first mask blank and with the desired design pattern, except in the exclusion zones, the latter being black, the other with a second mask blank and with the desired design pattern parts in the exclusion zones, all the rest of the second mask being black. The exposure of the surface to be processed by photolithography is done in two successive steps using the two complementary masks.
    Type: Application
    Filed: February 25, 2014
    Publication date: August 28, 2014
    Inventors: Cyril VANNUFFEL, Jean-Louis IMBERT
  • Publication number: 20140242733
    Abstract: According to one embodiment, provided is a reflective mask having a substrate, a reflection layer that reflects EUV light formed above the substrate, and an absorption layer that absorbs the EUV light formed above the reflection layer. The reflective mask further includes a monitor pattern monitoring an attachment amount of contamination attached during exposure.
    Type: Application
    Filed: September 10, 2013
    Publication date: August 28, 2014
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Takashi KOIKE
  • Publication number: 20140239462
    Abstract: Provided herein are multi-layer stacks for use in extreme ultraviolet lithography tailored to achieve optimum etch contrast to shrink features and smooth the edges of features while enabling use of an optical leveling sensor with little or reduced error. The multi-layer stacks may include an atomically smooth layer with an average local roughness of less than a monolayer, and one or more underlayers, which may be between a target layer to be patterned and a photoresist. Also provided are methods of depositing multi-layer stacks for use in extreme ultraviolet lithography.
    Type: Application
    Filed: February 20, 2014
    Publication date: August 28, 2014
    Inventors: Nader Shamma, Thomas Mountsier, Donald Schlosser
  • Patent number: 8815493
    Abstract: A resist pattern-forming method includes coating a radiation-sensitive resin composition on a substrate to provide a resist film. The resist film is exposed. The exposed resist film is developed with a developer solution including no less than 80% by mass of an organic solvent. The radiation-sensitive resin composition includes a base polymer, a fluorine-atom-containing polymer, a radiation-sensitive acid generator, a solvent, and a compound. The base polymer has an acid-labile group. The fluorine-atom-containing polymer has a content of fluorine atoms higher than a content of fluorine atoms of the base polymer. The compound has a relative permittivity greater than a relative permittivity of the solvent by at least 15. A content of the compound is no less than 10 parts by mass and no greater than 200 parts by mass with respect to 100 parts by mass of the base polymer.
    Type: Grant
    Filed: April 19, 2013
    Date of Patent: August 26, 2014
    Assignee: JSR Corporation
    Inventors: Koji Ito, Hirokazu Sakakibara, Masafumi Hori, Taiichi Furukawa