Forming Nonplanar Surface Patents (Class 430/322)
  • Patent number: 9017922
    Abstract: A chemically amplified resist composition comprising a base polymer and an amine quencher in the form of a ?-alanine, ?-aminobutyric acid, 5-aminovaleric acid, 6-aminocaproic acid, 7-aminoheptanoic acid. 8-aminooctanoic acid or 9-aminononanoic acid derivative having an unsubstituted carboxyl group has a high contrast of alkaline dissolution in rate before and after exposure and forms a pattern of good profile at a high resolution, minimal roughness and wide DOF.
    Type: Grant
    Filed: September 10, 2013
    Date of Patent: April 28, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Masayoshi Sagehashi
  • Patent number: 9017921
    Abstract: A photoresist composition includes a binder resin combined with a black dye, a monomer, a photo-polymerization initiator and a remainder of a solvent.
    Type: Grant
    Filed: March 29, 2013
    Date of Patent: April 28, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventors: Soo-Hye Ryu, Yi-Seop Shim, Chang-soon Jang, Chul Huh
  • Patent number: 9017930
    Abstract: According to one embodiment, a pattern formation method includes forming a pattern on a layer. The layer has a first surface energy and includes a silicon compound. The pattern has a second surface energy different from the first surface energy. The method includes forming a block polymer on the layer and the pattern. The method includes forming a structure selected from a lamellar structure and a cylindrical structure of the block polymer containing polymers arranged by microphase separation. The lamellar structure is oriented perpendicularly to the layer surface. The cylindrical structure is oriented so as to have an axis parallel to a normal line of the layer surface. The second surface energy is not less than a maximum value of surface energies of the polymers or not more than a minimum value of the surface energies of the polymers.
    Type: Grant
    Filed: September 20, 2012
    Date of Patent: April 28, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroko Nakamura, Satoshi Mikoshiba, Atsushi Hieno, Shigeki Hattori
  • Patent number: 9012125
    Abstract: A resist composition including a base component (A), which exhibits changed solubility in a developing solution under the action of acid and can be used in a lithography process that employs light having a wavelength of 193 nm or less as the exposure light source, an acid generator component (B) which generates acid upon exposure, and a polymeric compound (C) having a structural unit (c0) represented by general formula (c0) shown below, wherein the amount of the polymeric compound (C) is less than 25 parts by mass relative to 100 parts by mass of the base component (A). In the formula, R represents a hydrogen atom, an alkyl group of 1 to 5 carbon atoms or a halogenated alkyl group of 1 to 5 carbon atoms, and R1 represents an organic group having one or more primary or secondary alcoholic hydroxyl groups, or a chain-like tertiary alcoholic hydroxyl group.
    Type: Grant
    Filed: January 4, 2012
    Date of Patent: April 21, 2015
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Shinji Kumada, Satoshi Maemori, Masatoshi Arai, Daiju Shiono
  • Patent number: 9012127
    Abstract: The invention includes a novel light-activated polymerizable composition, wherein reversible crosslinks may be converted into irreversible crosslinks using a fully controllable physical and/or chemical process. The invention further includes methods of photofixing a light sensitive material or patterning an article comprising a light sensitive material.
    Type: Grant
    Filed: November 29, 2011
    Date of Patent: April 21, 2015
    Assignee: The Regents of the University of Colorado, a Body Corporate
    Inventors: Christopher Bowman, Christopher J. Kloxin, Brian J. Adzima
  • Publication number: 20150104734
    Abstract: A system of an extreme ultraviolet lithography (EUVL) is disclosed. The system includes a mask having first and second reflective regions. The system also includes an illumination to expose the mask to produce a resultant reflected light form the mask. The resultant reflected light is constructed by a first reflected light reflected from the first reflective region and a second reflected light reflected from the second reflective region. The resultant reflected light contains mainly diffracted light. The system also a projection optics box (POB) to collect and direct resultant reflected light to expose a target.
    Type: Application
    Filed: October 11, 2013
    Publication date: April 16, 2015
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Tsung Shih, Shinn-Sheng Yu, Jeng-Horng Chen, Anthony Yen
  • Publication number: 20150104745
    Abstract: Some embodiments of the present disclosure relates to a tool configuration and method for EUV patterning with a deformable reflective surface comprising a mirror or reticle. A radiation source provides EUV radiation which is reflected off the deformable reflective surface to transfer a reticle pattern a semiconductor workpiece. A metrology tool measures a residual vector formed between a first shape of the semiconductor workpiece and a second shape of the reticle pattern. And, a topology of the deformable reflective surface is changed based upon the residual vector to minimize a total magnitude of the residual vector.
    Type: Application
    Filed: October 11, 2013
    Publication date: April 16, 2015
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chia-Ching Huang, Tzu-Hsiang Chen, Chia-Hao Hsu, Chia-Chen Chen
  • Patent number: 9005883
    Abstract: The invention provides a patterning process comprises the steps of: (1) forming a positive chemically amplifying type photoresist film on a substrate to be processed followed by photo-exposure and development thereof by using an organic solvent to obtain a negatively developed pattern, (2) forming a silicon-containing film by applying a silicon-containing film composition comprising a solvent and a silicon-containing compound capable of becoming insoluble in a solvent by a heat, an acid, or both, (3) insolubilizing in a solvent the silicon-containing film in the vicinity of surface of the negatively developed pattern, (4) removing the non-insolubilized part of the silicon-containing film to obtain an insolubilized part as a silicon-containing film pattern, (5) etching the upper part of the silicon-containing film pattern thereby exposing the negatively developed pattern, (6) removing the negatively developed pattern, and (7) transferring the silicon-containing film pattern to the substrate to be processed.
    Type: Grant
    Filed: July 26, 2013
    Date of Patent: April 14, 2015
    Assignee: Shin-Estu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Takafumi Ueda
  • Patent number: 9005880
    Abstract: New photoresist compositions are provided that are useful for immersion lithography. Preferred photoresist compositions of the invention comprise one or more materials that have sulfonamide substitution. Particularly preferred photoresists of the invention can exhibit reduced leaching of resist materials into an immersion fluid contacting the resist layer during immersion lithography processing.
    Type: Grant
    Filed: November 19, 2009
    Date of Patent: April 14, 2015
    Assignee: Rohm and Haas Electronic Materials, LLC
    Inventors: Deyan Wang, Chunyi Wu, George G. Barclay, Cheng-Bai Xu
  • Patent number: 9005882
    Abstract: Correction of reticle defects, such as EUV reticle defects, is accomplished with a second exposure. Embodiments include obtaining a reticle with a first pattern corresponding to a design for a wafer pattern, detecting dark defects and/or design/OPC weak spots in the first pattern, exposing a resist covered wafer using the reticle, and exposing the wafer using a second reticle with a second pattern or a second image field with openings corresponding to the dark defects, with a repair pattern on the reticle or on another reticle, or with a programmed e-beam or laser writer.
    Type: Grant
    Filed: May 12, 2014
    Date of Patent: April 14, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventor: Arthur Hotzel
  • Patent number: 9005881
    Abstract: A lithography method of manufacturing integrated circuits is disclosed. A combination photoalignment-photoresist layer is formed on a substrate. A treatment is performed on the combination photoalignment-photoresist layer. The combination photoalignment-photoresist layer is exposed to a predetermined pattern. The combination photoalignment-photoresist layer is developed to form a pattern and expose a portion of the substrate.
    Type: Grant
    Filed: November 22, 2013
    Date of Patent: April 14, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Fong-Cheng Lee, Ching-Yu Chang
  • Patent number: 9005877
    Abstract: A method for patterning a layered structure is provided that includes performing photolithography to provide a developed prepattern layer on a horizontal surface of an underlying substrate, modifying the prepattern layer to form spaced apart inorganic material guides, casting and annealing a layer of a self-assembling block copolymer to form laterally-spaced cylindrical features, forming a pattern by selectively removing at least a portion of one block of the self-assembling block copolymer, and transferring the pattern to the underlying substrate. The method is suitable for making sub-50 nm patterned layered structures.
    Type: Grant
    Filed: May 15, 2012
    Date of Patent: April 14, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Benjamen M. Rathsack, Mark H. Somervell, Meenakshisundaram Gandhi
  • Patent number: 8999609
    Abstract: Provided are a phase shift mask blank that is improved in the irradiation durability of a light-semitransmissive film (phase shift film), made of a material containing mainly a transition metal, silicon, and nitrogen, to exposure light having a wavelength of 200 nm or less and thus can improve the mask lifetime, a method of manufacturing such a phase shift mask blank, and a phase shift mask. The phase shift mask blank is used for manufacturing a phase shift mask adapted to be applied with ArF excimer laser exposure light. The phase shift mask blank has a light-semitransmissive film on a transparent substrate. The light-semitransmissive film is an incomplete nitride film containing mainly a transition metal, silicon, and nitrogen. The content ratio of the transition metal to the transition metal and the silicon in the light-semitransmissive film is less than 9%.
    Type: Grant
    Filed: April 8, 2011
    Date of Patent: April 7, 2015
    Assignee: Hoya Corporation
    Inventors: Osamu Nozawa, Hiroaki Shishido, Kazuya Sakai
  • Patent number: 8993211
    Abstract: The actinic ray-sensitive or radiation-sensitive resin composition according to the present invention includes a resin (A) which contains at least one type of repeating unit which is represented by the general formula (PG1), at least one type of repeating unit which is selected from the repeating units which are represented by the general formula (PG2) and the general formula (PG3), and at least one type of repeating unit which includes a lactone structure, a compound (B) which is a compound which is represented by the general formula (B1) and where the molecular weight of an anion moiety is 200 or less, and a solvent (C).
    Type: Grant
    Filed: July 2, 2012
    Date of Patent: March 31, 2015
    Assignee: FUJIFILM Corporation
    Inventor: Kaoru Iwato
  • Patent number: 8993214
    Abstract: A positive photosensitive siloxane composition comprising at least three types of following polysiloxanes (A), (B) and (C) obtained by hydrolyzing and condensing a silane compound represented by general formula (1) R1nSi (OR2)4-n, a diazonaphthoquinone derivative, and a solvent: a polysiloxane (A) such that if pre-baked the film thereof will be soluble in a 5 weight % TMAH aqueous solution and the solution rate of said film will be 1,000 ?/sec or less; a polysiloxane (B) such that if pre-baked the solution rate of the film thereof will be 4,000 ?/sec or more relative to a 2.38 weight % TMAH aqueous solution; and a polysiloxane (C) such that if pre-baked the solution rate of the film thereof will be between 200 and 3,000 ?/sec relative to a 2.38 weight % TMAH aqueous solution.
    Type: Grant
    Filed: May 15, 2012
    Date of Patent: March 31, 2015
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Daishi Yokoyama, Takashi Fuke, Yuji Tashiro, Takashi Sekito, Toshiaki Nonaka
  • Patent number: 8993224
    Abstract: One illustrative method disclosed herein involves identifying an overall target pattern comprised of at least one hole-type feature, decomposing the overall target pattern into at least a first sub-target pattern and a second sub-target pattern, wherein the first sub-target pattern and the second sub-target pattern each comprise at least one common hole-type feature, generating a first set of mask data information corresponding to the first sub-target pattern, and generating a second set of mask data information corresponding to the second sub-target pattern.
    Type: Grant
    Filed: May 23, 2014
    Date of Patent: March 31, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Yuyang Sun, Norman S. Chen, Jian Liu
  • Patent number: 8993218
    Abstract: One or more techniques or systems for controlling a profile for photo resist (PR) are provided herein. In some embodiments, a first shield layer is formed on a first PR layer and a second PR layer is formed on the first shield layer. A first window is formed within the second PR layer during a first exposure with a mask. A second window is formed within the first shield layer based on the first window. A third window is formed within the first PR layer during a second exposure without a mask. Because, the third window is formed while the first shield layer and the second PR layer are on the first PR layer, a profile associated with the first PR layer is controlled. Contamination during ion bombardment is mitigated due to the controlled profile.
    Type: Grant
    Filed: February 20, 2013
    Date of Patent: March 31, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Li Huai Yang, Chien-Mao Chen
  • Patent number: 8993220
    Abstract: An immersion lithographic apparatus has a surface that in use is contacted by the immersion liquid and the surface has a surface roughness Ra that is less than or equal to 0.2 ?m. Immersion liquid on the surface may have a contact angle of 60° or greater. The surface may be able to maintain its properties so that immersion liquid on the surface may have the contact angle for a prolonged period of immersion in the immersion liquid.
    Type: Grant
    Filed: April 8, 2010
    Date of Patent: March 31, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Nina Vladimirovna Dziomkina, Olga Vladimirovna Elisseeva
  • Patent number: 8993221
    Abstract: An integrated circuit is made by depositing a pinning layer on a substrate. A block copolymer photoresist is formed on the pinning layer. The block copolymer has two blocks A and B that do not self-assemble under at least some annealing conditions. The exposed block copolymer photoresist is processed to cleave at least some block copolymer bonds in the exposed selected regions. The exposed pinning layer is processed to create a chemical epitaxial pattern to direct the local self assembly of the block copolymer.
    Type: Grant
    Filed: February 11, 2013
    Date of Patent: March 31, 2015
    Assignee: Pixelligent Technologies, LLC
    Inventors: Gregory D. Cooper, Brian L. Wehrenberg
  • Patent number: 8986921
    Abstract: A lithographic material stack including a metal-compound hard mask layer is provided. The lithographic material stack includes a lower organic planarizing layer (OPL), a dielectric hard mask layer, and the metal-compound hard mask layer, an upper OPL, an optional anti-reflective coating (ARC) layer, and a photoresist layer. The metal-compound hard mask layer does not attenuate optical signals from lithographic alignment marks in underlying material layers, and can facilitate alignment between different levels in semiconductor manufacturing.
    Type: Grant
    Filed: January 15, 2013
    Date of Patent: March 24, 2015
    Assignee: International Business Machines Corporation
    Inventors: Daniel C. Edelstein, Bryan G. Morris, Tuan A. Vo, Christopher J. Waskiewicz, Yunpeng Yin
  • Patent number: 8986918
    Abstract: The present invention relates to a hybrid photoresist composition for improved resolution and a pattern forming method using the photoresist composition. The photoresist composition includes a radiation sensitive acid generator, a crosslinking agent and a polymer having a hydrophobic monomer unit and a hydrophilic monomer unit containing a hydroxyl group. At least some of the hydroxyl groups are protected with an acid labile moiety having a low activation energy. The photoresist is capable of producing a hybrid response to a single exposure. The patterning forming method utilizes the hybrid response to form a patterned structure in the photoresist layer. The photoresist composition and the pattern forming method of the present invention are useful for printing small features with precise image control, particularly spaces of small dimensions.
    Type: Grant
    Filed: November 15, 2013
    Date of Patent: March 24, 2015
    Assignee: International Business Machines Corporation
    Inventors: Gregory Breyta, Kuang-Jung Chen, Steven J. Holmes, Wu-Song Huang, Sen Liu
  • Publication number: 20150079500
    Abstract: A method for lithography patterning is disclosed. An exemplary method includes receiving an IC design layout, the IC design layout having an IC pattern and receiving a mask, the mask having a defect. The method further includes making at least one mark on the mask in relation to the defect; positioning the IC design layout over the mask thereby covering the defect by the IC pattern; and patterning the mask with the IC design layout.
    Type: Application
    Filed: September 18, 2013
    Publication date: March 19, 2015
    Inventors: Hsun-Chuan Shih, Yuan-Chih CHU
  • Publication number: 20150079523
    Abstract: Synthesizing polymeric sheets in a slit fluidic channel by projection of a pulse of illumination to the channel. A slit channel can include a polymeric device with a plane's width larger than 1 mm. A glass plate is placed above the channel to prevent the channel from sagging. A photocurable prepolymer is flowed through the channel. The flow is paused and an illumination is projected to the channel through a photomask, produces a polymer sheet. The polymer sheet is then flushed out by resuming the flow. This process is repeated enabling continuous synthesis of polymeric sheets. The sheets can obtain any patterns defined by the photomask design, such as micropores and other geometrical patterns. These polymer sheets can be used in many emerging areas of technologies such as lab-on-a-chip, tissue engineering and organic electronics.
    Type: Application
    Filed: September 12, 2014
    Publication date: March 19, 2015
    Inventors: Minggan LI, Dae Kun HWANG, Janusz KOZINSKI
  • Patent number: 8980537
    Abstract: A photolithography method, including the steps of: S1) depositing, on the upper surface of a wafer, a chemically-amplified resist; S2) exposing the resist to a sensitizing radiation through a mask, to generate acid compounds in the exposed regions; S3) heating the resist, to have the acid compounds react with dissolution-inhibiting groups; and S5) developing the resist; and including, after step S3, a step of neutralization, S4, of the acid compounds which have not reacted at step S3.
    Type: Grant
    Filed: September 4, 2012
    Date of Patent: March 17, 2015
    Assignee: STMicroelectronics (Crolles 2) SAS
    Inventor: Benedicte Mortini
  • Patent number: 8980539
    Abstract: A developer includes an organic solvent and a nitrogen-containing compound. The developer is configured to develop a resist film to form a negative resist pattern. The resist film is formed using a photoresist composition. The photoresist composition includes a polymer and a radiation-sensitive acid generator. The polymer includes a structural unit including an acid-labile group.
    Type: Grant
    Filed: February 26, 2014
    Date of Patent: March 17, 2015
    Assignee: JSR Corporation
    Inventors: Taiichi Furukawa, Hirokazu Sakakibara
  • Patent number: 8980533
    Abstract: A supply apparatus for supplying radicals includes: a generator arranged in a chamber and configured to generate radicals by activating a gas supplied into the chamber; and a transport pipe having one end connected to the chamber and the other end from which the generated radicals are discharged. The generator is configured to activate the gas with infrared light radiation accompanied. An inner surface of the transport pipe is formed into a patterned shape by which the infrared light exiting the other end is less than the infrared light entering the one end.
    Type: Grant
    Filed: September 25, 2013
    Date of Patent: March 17, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventor: Shigeru Terashima
  • Publication number: 20150072271
    Abstract: A system and process of an extreme ultraviolet lithography (EUVL) is disclosed. An EUVL process includes receiving a mask pair having a same pattern. The mask pair includes an extreme ultraviolet (EUV) mask and a low EUV reflectivity mask. A first exposure process is performed by using the EUV mask to expose a substrate. A second exposure process is performed by using the low EUV reflectivity mask to expose the same substrate. The first exposure process is conducted according to a first exposure dose matrix and the second exposure process is conducted according to a second exposure dose matrix.
    Type: Application
    Filed: September 6, 2013
    Publication date: March 12, 2015
    Inventors: Yen-Cheng Lu, Shinn-Sheng Yu, Jeng-Horng Chen, Anthony Yen
  • Publication number: 20150072293
    Abstract: A method of forming a three-dimensional object is carried out by providing a carrier and an optically transparent member having a build surface, the carrier and the build surface defining a build region therebetween; filling the build region with a polymerizable liquid; irradiating the build region through the optically transparent member to form a solid polymer from the polymerizable liquid and advancing the carrier away from the build surface to form the three-dimensional object from the solid polymer, while also concurrently with the irradiating and/or advancing steps: (i) continuously maintaining a dead zone of polymerizable liquid in contact with the build surface, and (ii) continuously maintaining a gradient of polymerization zone between the dead zone and the solid polymer and in contact with each thereof. The gradient of polymerization zone comprises the polymerizable liquid in partially cured form (e.g.
    Type: Application
    Filed: August 11, 2014
    Publication date: March 12, 2015
    Inventors: Joseph M. DeSimone, Alexander Ermoshkin, Nikita Ermoshkin, Edward T. Samulski
  • Patent number: 8975001
    Abstract: Provided are photoresist compositions useful in forming photolithographic patterns. Also provided are substrates coated with the photoresist compositions and methods of forming photolithographic patterns. The compositions, methods and coated substrates find particular applicability in the manufacture of semiconductor devices.
    Type: Grant
    Filed: February 28, 2012
    Date of Patent: March 10, 2015
    Assignee: Rohm and Haas Electronics Materials LLC
    Inventors: Young Cheol Bae, Rosemary Bell, Thomas Cardolaccia, Seung-Hyun Lee, Yi Liu, Jong Keun Park
  • Patent number: 8975007
    Abstract: A method of forming a polymer nanofiber-metal nanoparticle composite pattern includes forming on a substrate a polymer nanofiber layer comprising polymer nanofibers made from polymers including a heteroaryl group; selectively exposing to UV-ozone a part of the polymer nanofiber layer through an aperture of a mask; selectively removing a part of the polymer nanofiber layer which was not exposed to UV-ozone from the polymer nanofiber layer to form a polymer nanofiber layer pattern; depositing a metal precursor on the polymer nanofiber layer pattern; and reducing the metal precursor into a metal.
    Type: Grant
    Filed: May 12, 2014
    Date of Patent: March 10, 2015
    Assignees: Samsung Electronics Co., Ltd., Industry-Academic Cooperation Foundation, Yonsei University
    Inventors: Jung-kyun Im, Min-woo Park, Jong-jin Park, Un-yong Jeong
  • Patent number: 8975006
    Abstract: New photoresist compositions are provided that are useful for immersion lithography. Preferred photoresist compositions of the invention comprise one or more block copolymers. Particularly preferred photoresists of the invention can exhibit reduced leaching of resist materials into an immersion fluid contacting the resist layer dining immersion lithography processing.
    Type: Grant
    Filed: November 19, 2009
    Date of Patent: March 10, 2015
    Inventors: Deyan Wang, Charles R. Szmanda, George G. Barclay, Cheng-Bai Xu
  • Patent number: 8975010
    Abstract: A method of forming a resist pattern including: applying a first resist composition containing a base component that exhibits increased solubility in an alkali developing solution and a photobase generator component that generates a base upon exposure to a substrate to form a first resist film; conducting exposure; conducting baking; conducting an alkali development, thereby forming a negative-tone resist pattern; applying a second resist composition containing a second base component that exhibits increased solubility in an alkali developing solution, an acid generator component that generates acid upon exposure and an organic solvent that does not dissolve the negative-tone resist pattern to the substrate having the negative-tone resist pattern formed thereon to form a second resist film; conducting exposure; and conducting an alkali development, thereby forming a resist pattern.
    Type: Grant
    Filed: December 18, 2012
    Date of Patent: March 10, 2015
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventor: Masaru Takeshita
  • Publication number: 20150064624
    Abstract: Oxime ester compounds of the formula I, II, III, IV or V, wherein Z is for example (formula A); Z1 for is NO2, unsubstituted or substituted C7-C20aroyl or unsubstituted or substituted C4-C20heteroaroyl; provided that at least one Z1 is other than NO2; Z2 is for example unsubstituted or substituted C7-C20aroyl; R1, R2, R3, R4, R5 and R6 for example are hydrogen, halogen, or unsubstituted or substituted C1-C20alkyl, unsubstituted or substituted C6-C20aryl, or unsubstituted or substituted C4-C20heteroaryl; R9, R10, R11, R12 and R13 for example are hydrogen, halogen, OR16, unsubstituted or substituted C1-C20alkyl; provided that R9 and R13 are neither hydrogen nor fluorine; R14 is for example unsubstituted or substituted C6-C20aryl or C3-C20heteroaryl Q is for example C6-C20arylene or C3-C20heteroarylene; Q1 is —C1-C20alkylene-CO—; Q2 is naphthoylene; Q3 is for example phenylene; L is for example O-alkylene-O—; R15 is for example hydrogen or C1-C20alkyl; R20 is for example hydrogen, or unsubstituted or substituted
    Type: Application
    Filed: May 6, 2013
    Publication date: March 5, 2015
    Applicant: BASF SE
    Inventors: Yuichi Nishimae, Hisatoshi Kura, Kazuhiko Kunimoto, Ryuhei Yamagami, Keita Tanaka
  • Publication number: 20150064630
    Abstract: A method is disclosed to form a row of mutually spaced elongate lithography features along an axis on a substrate, for instance for use as contact electrodes for a NAND device. The method involves directing alignment of self-assemblable block copolymer (BCP) composition in a trench in a resist layer on the substrate, having the substrate as base, with an epitaxy feature in the trench to cause the ordered BCP layer to have elongate domains stretching across the trench width, substantially parallel to each other and to the substrate. The ordered BCP layer is then used as a resist to pattern the substrate. A BCP composition adapted to assemble with spaced discontinuous elongate elliptical domains is disclosed. The method may allow for sub-resolution contact arrays to be formed using UV lithography.
    Type: Application
    Filed: March 19, 2013
    Publication date: March 5, 2015
    Applicant: ASML Netherlands B.V.
    Inventors: Sander Frederik Wuister, Emiel Peeters
  • Publication number: 20150064612
    Abstract: This invention provides a composition containing an organometallic compound having a chromophore moiety in the metal polymer backbone which allows a wider range of n/k values such that substrate reflectivity can be controlled under various conditions.
    Type: Application
    Filed: September 3, 2013
    Publication date: March 5, 2015
    Inventors: Shintaro YAMADA, Deyan WANG, Sabrina WONG, Cong LIU, Cheng-Bai XU
  • Patent number: 8968990
    Abstract: A method of forming a resist pattern, including: step (1) in which a resist composition including a base component, a photobase generator component and an acid supply component is applied to a substrate to form a resist film; step (2) in which the resist film is subjected to exposure without being subjected to prebaking; step (3) in which baking is conducted after step (2), such that, at an exposed portion of the resist film, the base generated from the photobase generator component upon the exposure and an acid derived from the acid supply component are neutralized, and at an unexposed portion of the resist film, the solubility of the base component in an alkali developing solution is increased by the action of the acid derived from the acid supply component; and step (4) in which the resist film is subjected to an alkali development, thereby forming a negative-tone resist pattern.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: March 3, 2015
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Jiro Yokoya, Tsuyoshi Nakamura, Hiroaki Shimizu, Hideto Nito
  • Patent number: 8968981
    Abstract: Organic coating compositions, particularly antireflective compositions, that comprise a component that comprises one or more parabanic acid moieties. Preferred compositions of the invention can reduce reflection of exposing radiation from a substrate back into an overcoated photoresist layer and can serve as a planarizing, conformal or via-fill layer.
    Type: Grant
    Filed: December 30, 2011
    Date of Patent: March 3, 2015
    Inventors: Vipul Jain, Owendi Ongayi, Suzanne Coley, Anthony Zampini
  • Patent number: 8968989
    Abstract: The present invention provides novel methods of fabricating microelectronics structures, and the resulting structures formed thereby, using EUV lithographic processes. The method involves utilizing an assist layer immediately below the photoresist layer. The assist layer can either be directly applied to the substrate, or it can be applied to any intermediate layer(s) that may be applied to the substrate. The preferred assist layers are formed from spin-coatable, polymeric compositions. The inventive method allows reduced critical dimensions to be achieved with improved dose-to-size ratios, while improving adhesion and reducing or eliminating pattern collapse issues.
    Type: Grant
    Filed: November 20, 2012
    Date of Patent: March 3, 2015
    Assignee: Brewer Science Inc.
    Inventors: Tantiboro Ouattara, Carlton Washburn, Vandana Krishnamurthy, Douglas Guerrero, Aline Collin
  • Patent number: 8968988
    Abstract: A resist pattern forming method includes: in the following order, (1) a step of forming a film on a substrate by using a negative resist composition capable of undergoing negative conversion by a crosslinking reaction; (2) a step of exposing the film; and (4) a step of performing development by using an alkali developer after the exposing, wherein the negative resist composition contains (A) a polymer compound having a repeating unit represented by the following formula (I) as defined in the specification, a thickness of the film formed in the step (1) is from 15 to 40 nm, and an alkali component concentration in the alkali developer is from 0.5 to 1.1 mass %.
    Type: Grant
    Filed: July 30, 2012
    Date of Patent: March 3, 2015
    Assignee: FUJIFILM Corporation
    Inventor: Toru Tsuchihashi
  • Publication number: 20150056561
    Abstract: The optical diffuser mastering of the subject invention includes legacy microstructure surface relief patterns, along with smaller ones, overlaid on the larger ones. The characteristic features produced by the present invention will be found useful to eliminate visible structures in/on optical diffusers, such as those used in movie projection screens (utilizing either coherent (i.e., laser-generated) and non-coherent (e.g., lamp-generated) light), head-up displays (HUDs), laser projection viewing, etc., as the present invention produces much sharper images than those afforded by traditional holographic optical diffusers.
    Type: Application
    Filed: August 26, 2014
    Publication date: February 26, 2015
    Inventors: Anthony Ang, Stanley Tafeng Kao, Christopher Leister
  • Publication number: 20150056559
    Abstract: An immersion liquid is provided comprising an ion-forming component, e.g. an acid or a base, which has a relatively high vapor pressure. Also provided are lithography processes and lithography systems using the immersion liquid.
    Type: Application
    Filed: October 1, 2014
    Publication date: February 26, 2015
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Hans JANSEN, Marco Koert STAVENGA, Jacobus Johannus Leonardus Hendricus VERSPAY, Franciscus Johannes Joseph JANSSEN, Anthonie KUIJPER
  • Publication number: 20150056554
    Abstract: Compounds of formula (I), (II), and (III), wherein R1, R2, R?2 and R??2 for example are C1-C20alkyl, provided that at least one of R1, R2, R?2 and R??2 carries a specified substituent; R3, R4, and R5 for example independently of one another are hydrogen or a defined substituent provided that at least one of R3, R4 or R5 is other than hydrogen or C1-C20alkyl; R6, R7, R8, R?7, RV, R?8, R?6, R?7, R??6 and R??7 for example independently of one another have one of the meanings as given for R3, R4, and R5; and R9 for example is C1-C20alkyl; exhibit an unexpectedly good performance in photopolymerization reactions.
    Type: Application
    Filed: November 7, 2014
    Publication date: February 26, 2015
    Applicant: CIBA CORPORATION
    Inventors: Akira Matsumoto, Junichi Tanabe, Hisatoshi Kura, Masaki Ohwa
  • Publication number: 20150050599
    Abstract: A method is disclosed to form a patterned epitaxy template, on a substrate, to direct self-assembly of block copolymer for device lithography. A resist layer on a substrate is selectively exposed with actinic (e.g. UV or DUV) radiation by photolithography to provide exposed portions in a regular lattice pattern of touching or overlapping shapes arranged to leave unexposed resist portions between the shapes. Exposed or unexposed resist is removed with remaining resist portions providing the basis for a patterned epitaxy template for the orientation of the self-assemblable block copolymer as a hexagonal or square array. The method allows for simple, direct UV lithography to form patterned epitaxy templates with sub-resolution features.
    Type: Application
    Filed: March 19, 2013
    Publication date: February 19, 2015
    Applicant: ASML Netherlands B.V.
    Inventors: Sander Frederik Wuister, Vadim Yevgenyevich Banine, Jozef Maria Finders, Roelof Koole, Emiel Peeters, Harmeet Singh
  • Publication number: 20150050598
    Abstract: A method includes performing a first cleaning step to clean a membrane, wherein during the first cleaning step, a first solvent passes through the membrane. After the first cleaning step, a second cleaning step is performed to clean the membrane. During the second cleaning step, a second solvent passes through the membrane. The first solvent and the second solvent are in different groups among three solvent groups, wherein the three solvent groups include a non-polar solvent group, a polar aprotic solvent group, and a polar protic solvent group.
    Type: Application
    Filed: August 14, 2013
    Publication date: February 19, 2015
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ya-Ling Cheng, Ching-Yu Chang
  • Publication number: 20150049498
    Abstract: In implementations, a glass-based multichip package includes a photodefinable glass-based substrate, at least one electronic component disposed on the photodefinable glass-based substrate, and a portion of the photodefinable glass-based substrate that has been exposed to ultraviolet light, where the portion of the photodefinable glass-based substrate includes ceramic. Additionally, the sensor package may include additional electronic components, a glass touch panel, and/or a printed circuit board. In implementations, fabricating the sensor package device includes receiving a photodefinable glass-based substrate, etching the photodefinable glass-based substrate, and forming a ceramic portion of the photodefinable glass-based substrate.
    Type: Application
    Filed: December 20, 2013
    Publication date: February 19, 2015
    Applicant: Maxim Integrated Products, Inc.
    Inventor: Tiao Zhou
  • Patent number: 8956789
    Abstract: Methods for fabricating integrated circuits are provided. In one example, a method for fabricating an integrated circuit includes patterning a first photoresist layer overlying a mask blank that is mounted on a first chuck to form a first patterned photoresist layer. The mask blank is selectively etched using the first patterned photoresist layer to form a first patterned mask. The first patterned mask is mounted on a second chuck and a non-flatness compensation is determined. The first patterned mask is mounted on the first chuck and a second photoresist layer is patterned overlying the first patterned mask to form a second patterned photoresist layer. The second patterned photoresist layer includes a device pattern that has been adjusted using the non-flatness compensation. The first patterned mask is selectively etched using the second patterned photoresist layer to form a second patterned mask.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: February 17, 2015
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventor: Sudharshanan Raghunathan
  • Patent number: 8956801
    Abstract: A resist composition including a base component which generates acid upon exposure and exhibits changed solubility in a developing solution under action of acid, and an organic solvent component, the base component containing a resin component having a structural unit which generates acid, and the organic solvent component containing an organic solvent component including a compound represented by general formula (s-1) shown below in which X represents a single bond or an alkylene group of 1 to 3 carbon atoms; and n represents an integer of 0 to 3.
    Type: Grant
    Filed: February 14, 2013
    Date of Patent: February 17, 2015
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventor: Kensuke Matsuzawa
  • Patent number: 8956804
    Abstract: A block copolymer, adapted to self-assemble to form an ordered pattern on a substrate, has first and second blocks with a terminal moiety covalently bonded to the end of the first block. The molecular weight of the terminal moiety is 20% or less than that of the block copolymer and the terminal moiety has a low chemical affinity for the first block. The terminal moiety can assist the accurate positional placement of the domains of the ordered array and lead to improved critical dimension uniformity and/or reduced line edge roughness. The polymer may be useful in combination with a graphoepitaxy template, where the terminal moiety is chosen to associate with a sidewall of the template. This may reduce undesired aggregation of polymer domains at a sidewall and/or assist in domain placement accuracy.
    Type: Grant
    Filed: June 7, 2012
    Date of Patent: February 17, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Aurelie Marie Andree Brizard, Sander Frederik Wuister, Roelof Koole, Emiel Peeters
  • Publication number: 20150044609
    Abstract: New photoresist compositions are provided that are useful for immersion lithography. Preferred photoresist compositions of the invention comprises two or more distinct materials that can be substantially non-mixable with a resin component of the resist. Particularly preferred photoresists of the invention can exhibit reduced leaching of resist materials into an immersion fluid contacting the resist layer during immersion lithography processing.
    Type: Application
    Filed: October 27, 2014
    Publication date: February 12, 2015
    Inventors: Deyan Wang, Cheng-Bai Xu, George G. Barclay
  • Publication number: 20150044600
    Abstract: Double-exposure mask structure and photolithography. method for performing a photolithography process on a substrate are provided. The substrate has a central region and a margin region. A double-exposure mask structure includes a plurality of parallel and spaced first masks corresponding to the central region, a plurality of parallel and spaced second masks corresponding to the central region, and a plurality of auxiliary masks. The second masks intersect the first masks to form a plurality of overlapping regions. The auxiliary masks are not in contact with one another, and correspond to the Second masks to assist the overlapping regions neighboring to the auxiliary masks to have sufficient depth of focus for photolithography. With the auxiliary masks, the overlapping regions in the central region and neighboring to the margin region can have preferred photolithography and etching effect.
    Type: Application
    Filed: August 12, 2013
    Publication date: February 12, 2015
    Applicant: Rexchip Electronics Corporation
    Inventors: YUNG-WEN HUNG, CHENG-SHUAI LI, YUN-TING SHEN