Forming Nonplanar Surface Patents (Class 430/322)
  • Patent number: 9324722
    Abstract: A method of forming metal routing in an IC device utilizing a cut mask in conjunction with a block mask is disclosed. Embodiments include forming a hard-mask layer on an upper surface of a silicon-oxide layer; forming spaced parallel mandrels on an upper surface of the hard-mask; forming spacers on opposite sides of each mandrel, removing the mandrels, forming alternating mandrel and non-mandrel spaces; forming block-mask portions over the mandrel and non-mandrel spaces; removing exposed sections of the hard-mask exposing sections of the silicon-oxide, removing the block-mask portions; forming a cut-mask with openings shorter than the block-mask portions over the upper surface of the hard-mask where the block-mask portions had been; removing the hard-mask through the cut-mask openings, removing the cut-mask; forming cavities in exposed regions of the silicon-oxide; removing the spacers and any remaining hard-mask; and forming metal lines in the cavities.
    Type: Grant
    Filed: July 13, 2015
    Date of Patent: April 26, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Youngtag Woo, Lei Yuan, Jongwook Kye
  • Patent number: 9324563
    Abstract: Methods of forming patterns are provided. The methods may include sequentially forming an etch-target layer and a photoresist layer on a substrate, exposing two first portions of the photoresist layer to light to transform the two first portions into two first photoresist patterns and exposing a second portion of the photoresist layer to light to transform the second portion into a second photoresist pattern disposed between the two first photoresist patterns. The method may also removing portions of the photoresist layer to leave the two first photoresist patterns and the second photo resist pattern on the etch-target layer such that the etch-target layer is exposed.
    Type: Grant
    Filed: June 9, 2014
    Date of Patent: April 26, 2016
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyoungmi Kim, Joo-Hyung Yang, Jaeho Kim, Jungsik Choi
  • Patent number: 9323143
    Abstract: A nano-imprint lithography process includes forming a multiplicity of hydroxyl groups on a surface of a substantially inorganic nano-imprint lithography template, heating the template, and reacting a pre-selected percentage of the hydroxyl groups on the surface of the template with a mono-functional, non-fluorinated compound to form a monolayer coating on the surface of the nano-imprint lithography template. The coated template may be contacted with a polymerizable composition disposed on a nano-imprint lithography substrate, and the polymerizable composition solidified to form a patterned layer. The coated template is separated from the patterned layer.
    Type: Grant
    Filed: February 3, 2009
    Date of Patent: April 26, 2016
    Assignees: Canon Nanotechnologies, Inc., Molecular Imprints, Inc.
    Inventors: Frank Y. Xu, Weijun Liu
  • Patent number: 9284195
    Abstract: Aggregated graphene oxide includes a range of specific surface area, and a method of preparing aggregated graphene oxide includes dispersing graphene oxide in an organic solvent and adding an anti-solvent. Aggregated graphene includes a range of specific surface area, and a method of preparing aggregated graphene includes dispersing graphene oxide in an organic solvent, adding an anti-solvent, and reducing the aggregated graphene oxide. Aggregated and nitrogen-doped includes a range of specific surface area, and a method of preparing aggregated and nitrogen-doped graphene includes dispersing graphene oxide in an organic solvent, adding an anti-solvent, and photo-reacting the aggregated graphene oxide.
    Type: Grant
    Filed: January 30, 2014
    Date of Patent: March 15, 2016
    Assignee: RESEARCH & BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY
    Inventors: Hyoyoung Lee, Yeo Heung Yoon, Chul Baik, Keun Sik Lee
  • Patent number: 9271936
    Abstract: A method for synthesizing a mesoporous silica nanoparticle, a mesoporous silica nanoparticle, and applications thereof are provided. The method includes fractionating a mesoporous silica nanoparticle suspension to produce size-fractionated mesoporous silica nanoparticle. The method further includes etching the size-fractionated mesoporous silica nanoparticle to produce synthesized mesoporous silica nanoparticle having a hollow, porous morphology configured to receive one of a therapeutic agent and an imaging material. The etching includes differential etching of silica from areas of low polymeric density within the mesoporous silica nanoparticle and re-depositing of the silica in areas of higher polymeric density existing near the surface of the mesoporous silica nanoparticle. A target material is loaded into the synthesized mesoporous silica nanoparticle and a controlled released of the target material is provided by decreasing the physiological pH of the surface of the mesoporous silica nanoparticle.
    Type: Grant
    Filed: March 6, 2009
    Date of Patent: March 1, 2016
    Assignee: UNIVERSITY OF MARYLAND
    Inventors: Philip R. DeShong, Michael R. Zachariah, Peter DeMuth, Anand Prakash, Charles Luckett, Douglas Stephen English
  • Patent number: 9268893
    Abstract: Photolithography mask synthesis is disclosed for spacer patterning masks. In one example, backbone features are extracted from a target layout of a mask design. A connectivity graph is generated based on the target layout in which lines of the backbone features are represented as nodes on the connectivity graph. The nodes are connected based on spacer patterning process limitations and the connections are assigned to sets. A backbone mask layout is then generated based on one of the sets of nodes.
    Type: Grant
    Filed: December 29, 2011
    Date of Patent: February 23, 2016
    Assignee: Intel Corporation
    Inventors: Bikram Baidya, Omkar S. Dandekar, Vivek K. Singh
  • Patent number: 9244366
    Abstract: An extreme ultraviolet lithography (EUVL) process is performed on a target, such as a semiconductor wafer, having a photosensitive layer. The method includes providing a one-dimensional patterned mask along a first direction. The patterned mask includes a substrate including a first region and a second region, a multilayer mirror above the first and second regions, an absorption layer above the multilayer mirror in the second region, and a defect in the first region. The method further includes exposing the patterned mask by an illuminator and setting the patterned mask and the target in relative motion along the first direction while exposing the patterned mask. As a result, an accumulated exposure dose received by the target is an optimized exposure dose.
    Type: Grant
    Filed: April 21, 2015
    Date of Patent: January 26, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yen-Cheng Lu, Shinn-Sheng Yu, Jeng-Horng Chen, Anthony Yen
  • Patent number: 9230820
    Abstract: A method for directed self-assembly (DSA) of block copolymers (BCPs) uses a BCP blend with a small portion of functional homopolymers, called “inks”, before deposition and annealing of the BCP. A substrate has a patterned sublayer formed on it. The BCP blend is deposited on the patterned sublayer and annealed. The BCP blend is guided by the sublayer pattern. The inks selectively distribute into blocks, and part of the inks graft on the substrate underneath the blocks. The BCP blend layer is rinsed away, leaving the grafted inks. The grafted inks form a chemical contrast pattern that has the same geometry with the BCP bulk morphology. This process is repeated, which results in the grafted inks forming a thicker and denser chemical contrast pattern. This chemical contrast pattern of grafted inks is used for the DSA of a BCP that self-assembles as lamellae perpendicular to the substrate.
    Type: Grant
    Filed: May 8, 2015
    Date of Patent: January 5, 2016
    Assignee: HGST Netherlands B.V.
    Inventors: Ricardo Ruiz, Lei Wan
  • Patent number: 9180715
    Abstract: A donor film and a thermal imaging method, the film including a base layer; a first light-to-heat conversion layer on the base layer; and a second light-to-heat conversion layer on the first light-to-heat conversion layer and to which an organic material is deposited, wherein the first light-to-heat conversion layer and the second light-to-heat conversion layer respectively absorb light of different wavelengths.
    Type: Grant
    Filed: December 23, 2013
    Date of Patent: November 10, 2015
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Hyung-Tag Lim, Young-Gil Kwon, Sok-Won Noh
  • Patent number: 9182672
    Abstract: A method and an apparatus print a pattern of periodic features into a photosensitive layer. The methods includes the steps of: providing a substrate bearing the layer, providing a mask, arranging the substrate such that the mask has a tilt angle with respect to the substrate in a first plane orthogonal thereto, and providing collimated light for illuminating the mask pattern so as to generate a transmitted light-field composed of a range of transversal intensity distributions between Talbot planes separated by a Talbot distance so that the transmitted light-field has an intensity envelope in the first plane. The mask is illuminated with the light while displacing the substrate relative to the mask in a direction parallel to the first plane and to the substrate. The tilt angle and the intensity envelope are arranged so that the layer is exposed to an average of the range of transversal intensity distributions.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: November 10, 2015
    Assignee: Eulitha AG
    Inventors: Harun Solak, Francis Clube
  • Patent number: 9164376
    Abstract: The invention concerns a method for patterning a surface of a material. A substrate having a polymer film thereon is provided. The polymer is a selectively reactive polymer (e.g., thermodynamically unstable): it is able to unzip upon suitable stimulation. A probe is used to create patterns on the film. During the patterning, the film is locally stimulated for unzipping polymer chains. Hence, a basic idea is to provide a stimulus to the polymeric material, which in turn spontaneously decomposes e.g., into volatile constituents. For example, the film is thermally stimulated in order to break a single bond in a polymer chain, which is sufficient to trigger the decomposition of the entire polymer chain.
    Type: Grant
    Filed: August 9, 2012
    Date of Patent: October 20, 2015
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Daniel J. Coady, Urs T. Duerig, Jane E. Frommer, Kazuki Fukushima, James L. Hedrick, Armin W. Knoll
  • Patent number: 9140983
    Abstract: A resist composition including a high-molecular weight compound having a constituent unit represented by general formula (a0-1), a constituent unit (a1) containing a group containing a monocyclic group or a chain group among acid decomposable groups whose polarity increases by action of acid, a constituent unit (a1?) containing a group containing a polycyclic group among acid decomposable groups whose polarity increases by the action of an acid, and a constituent unit (a2) containing a lactone-containing monocyclic group, with a proportion of the constituent unit (a1) being equal to a proportion of the constituent unit (a1?) or more; and a method for forming a resist pattern using the resist composition.
    Type: Grant
    Filed: May 29, 2014
    Date of Patent: September 22, 2015
    Assignee: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Junichi Tsuchiya, Yuta Iwasawa
  • Patent number: 9110388
    Abstract: The disclosure relates to a projection exposure apparatus and an optical system, such as a projection objective or an illumination system in a projection exposure apparatus for microlithography, that includes at least one optical element and at least one manipulator having a drive device for the optical element. The drive device can have at least one movable partial element and at least one stationary partial element movable relative to one another in at least one direction of movement.
    Type: Grant
    Filed: August 20, 2012
    Date of Patent: August 18, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Sascha Bleidistel, Bernhard Geuppert
  • Patent number: 9109119
    Abstract: The invention relates to a chromophore of general formula: in which: R1 represents C1-C4 alkyl; R2 represents a sterically hindered group; R3 represents a pi-conjugated system; Y represents O, S, NH or is absent; R4 represents a C3-C18, preferably C3-C8 and more preferably C3 alkyl group; M represents a metal from Group III or IV of the Periodic Table; n is an integer, to its process of preparation and to its uses.
    Type: Grant
    Filed: September 15, 2009
    Date of Patent: August 18, 2015
    Assignee: CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE-CNRS
    Inventors: Olivier Dautel, Joel Moreau, Jean-Pierre Lere-Porte
  • Patent number: 9093276
    Abstract: A method for forming a pattern on a substrate is described. The method includes providing a substrate, forming a photosensitive layer over the substrate, exposing the photosensitive layer to a first exposure energy through a first mask, exposing the photosensitive layer to a second exposure energy through a second mask, baking the photosensitive layer, and developing the exposed photosensitive layer. The photosensitive layer includes a polymer that turns soluble to a developer solution, at least one photo-acid generator (PAG), and at least one photo-base generator (PBG). A portion of the layer exposed to the second exposure energy overlaps with a portion exposed to the first exposure energy.
    Type: Grant
    Filed: November 18, 2013
    Date of Patent: July 28, 2015
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ya-Hui Chang, Chia-Chu Liu
  • Patent number: 9090782
    Abstract: The present invention relates to a method for cleaning wafers while preventing pattern collapse of the wafers in semiconductor device fabrication, the wafer having at its surface an uneven pattern and containing silicon element at least on surfaces of recessed portions. Provided is: a liquid chemical for forming a protective film which allows efficient cleaning; and a method for cleaning wafers, using the liquid chemical. A liquid chemical for forming a water repellent protective film is provided for forming a protective film on a wafer (having at its surface an uneven pattern and containing silicon element at least at a part of the uneven pattern), the protective film being formed at least on surfaces of recessed portions of the uneven pattern at the time of cleaning the wafer. The liquid chemical contains a dialkylsilyl compound represented by the formula [1] and does not contain an acid and a base.
    Type: Grant
    Filed: June 15, 2011
    Date of Patent: July 28, 2015
    Assignee: Central Glass Company, Limited
    Inventors: Takashi Saio, Soichi Kumon, Masanori Saito, Shinobu Arata, Hidehisa Nanai
  • Patent number: 9063434
    Abstract: Sub-diffraction-limited patterning using a photoswitchable recording material is disclosed. A substrate can be provided with a photoresist in a first transition state. The photoresist can be configured for spectrally selective reversible transitions between at least two transition states based on a first wavelength band of illumination and a second wavelength band of illumination. An optical device can selectively expose the photoresist to a standing wave with a second wavelength in the second wavelength band to convert a section of the photoresist into a second transition state. The optical device or a substrate carrier securing the substrate can modify the standing wave relative to the substrate to further expose additional regions of the photoresist into the second transition state in a specified pattern.
    Type: Grant
    Filed: January 10, 2014
    Date of Patent: June 23, 2015
    Assignee: University of Utah Research Foundation
    Inventors: Rajesh Menon, Precious Cantu
  • Patent number: 9052610
    Abstract: A coating and developing system for forming a resist film on a substrate by coating the substrate with a liquid resist and developing the resist film after the resist film has been processed by immersion exposure that forms a liquid layer on the surface of the substrate is capable of reducing difference in property among resist films formed on substrates. The coating and developing system includes: a cleaning unit; a carrying means; and a controller. A set time interval is determined such that the substrate is subjected to the immersion exposure process after contact angle drop rate at which contact angle between the cleaning liquid and a surface of the substrate drops has dropped from an initial level at the wetting time point when the surface of the substrate is wetted with the cleaning liquid to a level far lower than the initial level.
    Type: Grant
    Filed: February 29, 2012
    Date of Patent: June 9, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Seiki Ishida, Taro Yamamoto
  • Patent number: 9046768
    Abstract: A resist overlayer film composition for lithography from which a resist overlayer film is formed. A resist overlayer film forming composition including a benzene compound having at least one amino group. A resist may be an EUV resist or an electron beam resist. The benzene compound may have at least one amino group and at least one alkyl group, one or two amino groups and one to four alkyl groups, or may be a compound of Formula (1): where R1 to R5 are independently a hydrogen atom, a C1-10 alkyl group such as methyl, ethyl or isopropyl, or an amino group.
    Type: Grant
    Filed: September 26, 2012
    Date of Patent: June 2, 2015
    Assignee: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Ryuji Ohnishi, Takafumi Endo, Rikimaru Sakamoto
  • Patent number: 9046784
    Abstract: A nanoporous film patterned by direct photolithography and a method for preparing the same are provided. Since a precursor of the material is the mixture of a nano template material and a photoresist and the mixture still has the basic physical properties of the photoresist, a film is formed on a substrate by a standard photolithography process and a micro-sized patterned structure is realized. The mixture with the patterned structure is chemically etched to remove the template material to form a porous polymer film, or the mixture with the patterned structure is carbonized at a high temperature and then the template material is removed to form a porous carbon film. The nanoporous film patterned by direct photolithography and the method for preparing the same have the advantages of simple operation, low cost and good integration with other micro electric mechanical systems.
    Type: Grant
    Filed: April 27, 2013
    Date of Patent: June 2, 2015
    Assignee: Tsinghua University
    Inventors: Xiaohong Wang, Caiwei Shen
  • Publication number: 20150147687
    Abstract: The present disclosure provides one embodiment of an extreme ultraviolet (EUV) mask. The EUV mask includes a first state and a second state different from each other; a first main polygon and a second main polygon adjacent to the first main polygon; a plurality of sub-resolution assist polygons; and a field. Each of the first and second main polygons, the sub-resolution assist polygons, and the field has an associated state. The state assigned to the first main polygon is different from the state assigned to the second main polygon. The plurality of assist polygons are assigned a same state, which is different from a state assigned to the field.
    Type: Application
    Filed: March 21, 2014
    Publication date: May 28, 2015
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yen-Cheng Lu, Shinn-Sheng YU, Jeng-Horng CHEN, Anthony YEN
  • Publication number: 20150147686
    Abstract: A low EUV reflectivity mask includes a low thermal expansion material (LTEM) layer, a low EUV reflectivity (LEUVR) multilayer over the LTEM layer in a first region, a high EUV reflectivity (HEUVR) multilayer over the LTEM layer in a second region and a patterned absorption layer over the LEUVR multilayer and the HEUVR multilayer.
    Type: Application
    Filed: November 22, 2013
    Publication date: May 28, 2015
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yen-Cheng Lu, Shinn-Sheng Yu, Jeng-Horng Chen, Anthony Yen
  • Patent number: 9040231
    Abstract: A pattern forming method contains: (i) a step of forming a bottom anti-reflective coating on a substrate by using a first resin composition (I), (ii) a step of forming a resist film on the bottom anti-reflective coating by using a second resin composition (II), (iii) a step of exposing a multi-layered film having the bottom anti-reflective coating and the resist film, and (iv) a step of developing the bottom anti-reflective coating and the resist film in the exposed multi-layered film by using an organic solvent-containing developer to form a negative pattern.
    Type: Grant
    Filed: April 21, 2014
    Date of Patent: May 26, 2015
    Assignee: FUJIFILM Corporation
    Inventors: Keita Kato, Michihiro Shirakawa, Tadahiro Odani, Atsushi Nakamura, Hidenori Takahashi, Kaoru Iwato
  • Patent number: 9040320
    Abstract: A manufacturing method of an organic light emitting display device is disclosed which includes: forming a thin film transistor on each sub-pixel region which is defined in a substrate; forming a passivation layer on the substrate provided with the thin film transistor; forming a first electrode of an organic light emitting diode in each sub-pixel region of the passivation layer; forming a bank pattern in boundaries of the sub-pixel region of the passivation layer; forming a photoresist pattern, which exposes a first sub-pixel region, on the bank pattern; forming an organic light emission layer on the first electrode within the first sub-pixel region and an organic material layer on the photoresist pattern by depositing an organic material on the entire surface of the substrate provided with the photoresist pattern; and removing the photoresist pattern and the organic material pattern using a detachment film.
    Type: Grant
    Filed: December 12, 2013
    Date of Patent: May 26, 2015
    Assignee: LG DISPLAY CO., LTD.
    Inventors: Jae Hyun Park, Jin Wuk Kim, Wy Yong Kim
  • Patent number: 9040230
    Abstract: Disclosed is a resist ink having superior acid-resistance and coupling property, the resist ink composed of 70% or less by weight of solvent, 10-15% by weight of base polymer, 10-15% by weight of tacktifier, 3% or less by weight of additive, and 1-10% by weight of coupling agent.
    Type: Grant
    Filed: December 31, 2012
    Date of Patent: May 26, 2015
    Assignee: LG Display Co., Ltd.
    Inventors: Sung-Hee Kim, Byung-Geol Kim
  • Patent number: 9040225
    Abstract: The present invention relates to a developable bottom antireflective coating (BARC) composition and a pattern forming method using the BARC composition. The BARC composition includes a first polymer having a first carboxylic acid moiety, a hydroxy-containing alicyclic moiety, and a first chromophore moiety; a second polymer having a second carboxylic acid moiety, a hydroxy-containing acyclic moiety, and a second chromophore moiety; a crosslinking agent; and a radiation sensitive acid generator. The first and second chromophore moieties each absorb light at a wavelength from 100 nm to 400 nm. In the patterning forming method, a photoresist layer is formed over a BARC layer of the BARC composition. After exposure, unexposed regions of the photoresist layer and the BARC layer are selectively removed by a developer to form a patterned structure in the photoresist layer. The BARC composition and the pattern forming method are especially useful for implanting levels.
    Type: Grant
    Filed: October 30, 2014
    Date of Patent: May 26, 2015
    Assignee: International Business Machines Corporation
    Inventors: Kuang-Jung Chen, Steven J. Holmes, Wu-Song Huang, Ranee W. Kwong, Sen Liu
  • Publication number: 20150140267
    Abstract: The invention relates to a process for the preparation, by spatial distribution of light intensity, of a surface in relief promoting order and spatial coherence serving as a guide for the organization, on nano- and micrometre scales, of an overlayer on the surface in particular of block copolymers.
    Type: Application
    Filed: May 23, 2013
    Publication date: May 21, 2015
    Applicants: Arkema France, Universite de Bordeaux
    Inventors: Christophe Navarro, Karim Aissou, Cyril Brochon, Stefan Dilhaire, Guillaume Fleury, Stephane Grauby, Georges Hadziioannou, Jean-Michel Rampnoux, Jonah Shaver
  • Publication number: 20150140477
    Abstract: The present disclosure is directed to various masks for use during EUV photolithography processes. In one example, an EUV mask is disclosed that includes, among other things, a substrate, a multilayer stack comprised of a plurality of multilayer pairs of ruthenium and silicon formed above the substrate, wherein the mask is adapted to, when irradiated with EUV light, have an effective reflective plane that is positioned 32 nm or less below an uppermost surface of the multilayer stack and a capping layer positioned above the uppermost surface of the multilayer stack.
    Type: Application
    Filed: November 15, 2013
    Publication date: May 21, 2015
    Applicant: GLOBAL FOUNDRIES Inc.
    Inventor: Mandeep Singh
  • Publication number: 20150140493
    Abstract: A reagent that enhances acid generation of a photoacid generator and composition containing such reagent is disclosed. Also described is a method for manufacturing a device, the method including applying a liquid containing a composition to a member such that a coating film including the composition is formed on the member; and exposing the coating film to at least one of a first electromagnetic ray and a first particle ray such that a first portion of the coating film is exposed to the at least one of the first electromagnetic ray and the first particle ray while a second portion of the coating film is not exposed to the at least one of the first electromagnetic ray and the first particle ray.
    Type: Application
    Filed: November 18, 2014
    Publication date: May 21, 2015
    Inventors: Satoshi ENOMOTO, Yusuke SUGA
  • Publication number: 20150140481
    Abstract: Various patterning methods utilize certain crosslinkable reactive polymers comprise -A- and -B- recurring units, arranged randomly along a backbone. The -A- recurring units comprise pendant aromatic sulfonic acid oxime ester groups that are capable of providing pendant aromatic sulfonic acid groups upon irradiation with radiation having a ?max of at least 150 nm and up to and including 450 nm. The -A- recurring units are present in the reactive polymer in an amount of up to and including 98 mol % based on total reactive polymer recurring units. The -B- recurring units comprise pendant groups that provide crosslinking upon generation of the aromatic sulfonic acid groups in the -A- recurring units. The -B- recurring units are present in an amount of at least 2 mol %, based on total reactive polymer recurring units.
    Type: Application
    Filed: November 20, 2013
    Publication date: May 21, 2015
    Inventors: Allan Wexler, Grace Ann Bennett, Kimberly S. Lindner
  • Patent number: 9034736
    Abstract: The present invention provides a method of patterning an electronic or photonic material on a substrate comprising: forming a film of said electronic or photonic material on said substrate; and using a fluoropolymer to protect regions of said electronic or photonic material during a patterning process.
    Type: Grant
    Filed: July 9, 2010
    Date of Patent: May 19, 2015
    Assignee: Cambridge Enterprise Limited
    Inventors: Henning Sirringhaus, Jui-Fen Chang, Michael Gwinner
  • Patent number: 9034570
    Abstract: Some embodiments include methods of forming patterns of openings. The methods may include forming spaced features over a substrate. The features may have tops and may have sidewalls extending downwardly from the tops. A first material may be formed along the tops and sidewalls of the features. The first material may be formed by spin-casting a conformal layer of the first material across the features, or by selective deposition along the features relative to the substrate. After the first material is formed, fill material may be provided between the features while leaving regions of the first material exposed. The exposed regions of the first material may then be selectively removed relative to both the fill material and the features to create the pattern of openings.
    Type: Grant
    Filed: February 27, 2014
    Date of Patent: May 19, 2015
    Assignee: Micron Technology, Inc.
    Inventors: Scott E. Sills, Gurtej S. Sandhu, John Smythe, Ming Zhang
  • Patent number: 9034560
    Abstract: A negative resist composition, includes: (A) an alkali-soluble polymer containing a specific repeating unit as defined in the specification; (B) a crosslinking agent capable of crosslinking with the alkali soluble polymer (A) under an action of an acid; (C) a compound capable of generating an acid upon irradiation with actinic rays or radiation; (D) a specific quaternary ammonium salt as defined in the specification; and (E) an organic carboxylic acid, and a pattern forming method uses the composition.
    Type: Grant
    Filed: April 17, 2014
    Date of Patent: May 19, 2015
    Assignee: FUJIFILM Corporation
    Inventors: Koji Shirakawa, Tadateru Yatsuo
  • Patent number: 9034568
    Abstract: Systems and methods for liquid deposition photolithography are described. In particular, some embodiments relate to systems and methods for using photolithography to control the 2D structure of a thin layer of material (e.g., photopolymer) using various masks, projection optics and materials. In one or more embodiments, this thin layer can be manipulated by micro-fluidic techniques such that it can be formed, patterned and post-processed in a liquid environment, vastly simplifying the creation of multi-layer structures. Multiple layers are rapidly built up to create thick structures of possibly multiple materials that are currently challenging to fabricate by existing methods.
    Type: Grant
    Filed: March 22, 2013
    Date of Patent: May 19, 2015
    Assignee: The Regents of the University of Colorado, a body corporate
    Inventors: Robert R. McLeod, Adam Urness, Michael Cole, Eric Moore
  • Patent number: 9034569
    Abstract: An extreme ultraviolet lithography (EUVL) process is performed on a target, such as a semiconductor wafer, having a photosensitive layer. The method includes providing a one-dimensional patterned mask along a first direction. The patterned mask includes a substrate including a first region and a second region, a multilayer mirror above the first and second regions, an absorption layer above the multilayer mirror in the second region, and a defect in the first region. The method further includes exposing the patterned mask by an illuminator and setting the patterned mask and the target in relative motion along the first direction while exposing the patterned mask. As a result, an accumulated exposure dose received by the target is an optimized exposure dose.
    Type: Grant
    Filed: March 13, 2014
    Date of Patent: May 19, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yen-Cheng Lu, Shinn-Sheng Yu, Jeng-Horng Chen, Anthony Yen
  • Patent number: 9029073
    Abstract: A undercoat agent used for performing phase separation of a layer formed on a substrate and containing a block copolymer having a plurality of blocks bonded, wherein the undercoat agent contains a resin component, the resin component is formed from a structural unit having an aromatic ring and a structural unit not having an aromatic ring, and the resin component has a group that can interact with the substrate, and also has a 3 to 7-membered, ether-containing cyclic group.
    Type: Grant
    Filed: March 5, 2013
    Date of Patent: May 12, 2015
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Takahiro Senzaki, Ken Miyagi, Kenichiro Miyashita
  • Patent number: 9029074
    Abstract: Provided is a method of water repellent treatment for a pattern surface, the method including the steps of agitatingly mixing a perfluoropolyether-group-containing silane water repellent, an organic acid, a fluorine-containing solvent capable of dissolving the perfluoropolyether-group-containing silane water repellent and the organic acid, and water to hydrolyze the perfluoropolyether-group-containing silane water repellent, thereby obtaining a partial hydrolysate solution; forming a photosensitive resin layer on a substrate; applying the partial hydrolysate solution onto the photosensitive resin layer to form a water-repellent film; performing patterning exposure to the photosensitive resin layer and the water-repellent film; performing heat treatment to collectively cure an exposed portion of the photosensitive resin layer and the water-repellent film; and removing a non-exposed portion of the photosensitive resin layer and the water-repellent film by development treatment to form a pattern.
    Type: Grant
    Filed: February 25, 2014
    Date of Patent: May 12, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventors: Yohei Hamade, Etsuko Sawada, Ken Ikegame, Hiroaki Mihara, Satoshi Tsutsui
  • Patent number: 9029071
    Abstract: The present invention provides a silicon oxynitride film formation method capable of reducing energy cost, and also provides a substrate equipped with a silicon oxynitride film formed thereby. This method comprises the steps of: casting a film-formable coating composition containing a polysilazane compound on a substrate surface to form a coat; drying the coat to remove excess of the solvent therein; and then irradiating the dried coat with UV light at a temperature lower than 150° C.
    Type: Grant
    Filed: August 10, 2011
    Date of Patent: May 12, 2015
    Assignee: Merck Patent GmbH
    Inventors: Ninad Shinde, Tatsuro Nagahara, Yusuke Takano
  • Publication number: 20150125787
    Abstract: A conductive pattern can be formed a reactive polymer comprising pendant tertiary alkyl ester groups, (b) a compound that provides an acid upon exposure to radiation, (c) a crosslinking agent that is capable of reacting in the presence of the acid, and (d) optionally, a photosensitizer. The polymeric layer is patternwise exposed to provide non-exposed regions and exposed regions comprising a polymer comprising carboxylic acid groups. Both the non-exposed regions and the exposed regions of the polymeric layer are contacted with a reducing agent, bleached to remove surface amounts of the reducing agent in both non-exposed and exposed regions of the polymeric layer, and contacted with electroless seed metal ions to oxidize the reducing agent and to form corresponding electroless seed metal nuclei in the exposed regions. The corresponding electroless seed metal nuclei are then electrolessly plated with a conductive metal.
    Type: Application
    Filed: November 5, 2013
    Publication date: May 7, 2015
    Inventors: Mark Edward Irving, Thomas B. Brust
  • Publication number: 20150125785
    Abstract: A halftone phase shift photomask blank comprising a transparent substrate and a halftone phase shift film is provided. The phase shift film consists of Si and N, or Si, N and O, and is free of transition metals. The phase shift film has a thickness of 40-70 nm, offers a transmittance of 10-40% and a phase shift of 150-200° relative to light of wavelength up to 200 nm, and is resistant to cleaning chemicals.
    Type: Application
    Filed: October 22, 2014
    Publication date: May 7, 2015
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Yukio INAZUKI, Toyohisa SAKURADA, Hideo KANEKO, Takuro KOSAKA, Kouhei SASAMOTO
  • Patent number: 9023579
    Abstract: According to one embodiment, an actinic-ray- or radiation-sensitive resin composition includes any of the compounds (A) of general formula (I) below that when exposed to actinic rays or radiation, generates an acid and a resin (B) whose rate of dissolution into an alkali developer is increased by the action of an acid. (The characters used in general formula (I) have the meanings mentioned in the description.
    Type: Grant
    Filed: May 31, 2012
    Date of Patent: May 5, 2015
    Assignee: FUJIFILM Corporation
    Inventors: Shuhei Yamaguchi, Akinori Shibuya
  • Patent number: 9023586
    Abstract: The invention provides a positive resist composition, wherein a polymer compound having the weight-average molecular weight in the range of 1,000 to 500,000 and comprising a repeating unit having a hydrogen atom in a carboxyl group and/or in a phenolic hydroxy group therein been substituted by an acid-labile group and a repeating unit “a” having a cyclopentadienyl complex shown by the following general formula (1) is used as a base resin therein. There can be a positive resist composition having not only small edge roughness (LER and LWR) while having a higher resolution than conventional positive resist compositions, but also a good pattern form after exposure and an extremely high etching resistance, especially a positive resist composition using a polymer compound suitable as a base resin for a chemically amplifying resist composition; and a patterning process.
    Type: Grant
    Filed: November 20, 2013
    Date of Patent: May 5, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Jun Hatakeyama
  • Patent number: 9023247
    Abstract: The invention provides an antistatic treatment agent having an ability of preventing resist film thinning phenomenon in a chemically amplified resist, an antistatic film, a coated article and a pattern forming method using such antistatic treatment agent, in particular, the invention provides an antistatic treatment agent comprising an aqueous solvent-soluble electroconductive polymer, a diamine (divalent) or polyamine (polyvalent) aliphatic basic compound and an anionic surfactant, an antistatic film, a coated article and a pattern forming method using such antistatic treatment agent. As the aqueous solvent-soluble electroconductive polymer, a ?-conjugated electroconductive polymer having a Brönsted acid group is a sulfonic acid group is preferred and it is preferable that the amount of the diamine (divalent) or polyamine (polyvalent) aliphatic basic compound be from 0.1 to 75 mol % based on the total number of moles of the basic compounds.
    Type: Grant
    Filed: December 23, 2010
    Date of Patent: May 5, 2015
    Assignee: Showa Denko K.K.
    Inventors: Takashi Ohkubo, Yoshihiro Saida
  • Patent number: 9023582
    Abstract: A photosensitive polymer includes a repeating unit represented by Formula 1 and the photosensitive polymer has a weight average molecule weight of from about 3,000 to about 50,000:
    Type: Grant
    Filed: March 12, 2013
    Date of Patent: May 5, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventor: Sang-Jun Choi
  • Patent number: 9023587
    Abstract: A polymer comprising recurring units (a) of styrene having an HFA group and an ester group adjacent thereto and recurring units (b) having a hydroxyl group is used as base resin to formulate a negative resist composition. The negative resist composition has a high dissolution contrast in alkaline developer, high sensitivity, high resolution, good pattern profile after exposure, and a suppressed acid diffusion rate.
    Type: Grant
    Filed: December 23, 2013
    Date of Patent: May 5, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Koji Hasegawa, Daisuke Domon
  • Publication number: 20150118602
    Abstract: A photomask including first opaque patterns and second opaque patterns is provided. The first opaque patterns are distributed in a first plane defined in the photomask, while the second opaque patterns are disposed above the first opaque patterns and spaced apart from the first opaque patterns. In other words, the first opaque pattern and second opaque pattern are not distributed in the same plane.
    Type: Application
    Filed: October 31, 2013
    Publication date: April 30, 2015
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: En-Chiuan Liou, Sho-Shen Lee, Wen-Liang Huang, Chang-Mao Wang, Kai-Lin Chuang
  • Publication number: 20150118188
    Abstract: The present invention relates to methods of metathesizing olefins using catalysts previously considered to be practically inactive. The present invention further relates to novel photosensitive compositions, their use as photoresists, and methods related to patterning polymer layers on substrates. Further, modifications to the compositions and method provide for an unprecedented functionalization of the compositions, useful for example in the preparation of sensors, drug delivery systems, and tissue scaffolds. The novel compositions and associated methods also provide for the opportunity to prepare 3-dimensional objects which provide new access to critically dimensioned devices, including for example photonic devices.
    Type: Application
    Filed: October 3, 2014
    Publication date: April 30, 2015
    Inventors: RAYMOND WEITEKAMP, ROBERT H. GRUBBS, HARRY A. ATWATER, JAMES FAKONAS
  • Publication number: 20150118626
    Abstract: Various examples are provided for tube nozzle electrospinning. In one example, among others, is a system including a nozzle tube with an array of nozzles configured to produce a plurality of electrospun nanofibers and a positioning stage configured to control deposition of the plurality of electrospun nanofibers on a substrate to form a layer of nanofibers. Another example is a method including generating a plurality of electrospun nanofibers from an array of nozzles positioned over a substrate and controlling movement of the substrate to form a layer of electrospun nanofibers.
    Type: Application
    Filed: May 31, 2013
    Publication date: April 30, 2015
    Applicant: University of Florida Research Foundation, Inc.
    Inventors: Yong-Kyu Yoon, Pit Fee Jao
  • Publication number: 20150118625
    Abstract: Provided herein is a method, including a) transferring an initial pattern of an initial template to a substrate; b) performing block copolymer self-assembly over the substrate with a density multiplication factor k; c) creating a subsequent pattern in a subsequent template with the density multiplication factor k; and d) repeating steps a)-c) with the subsequent template as the initial template until a design specification for the subsequent pattern with respect to pattern density and pattern resolution is met.
    Type: Application
    Filed: January 2, 2015
    Publication date: April 30, 2015
    Inventors: XiaoMin Yang, Zhaoning Yu, Kim Yang Lee, Michael Feldbaum, Yautzong Hsu, Wei Hu, Shuaigang Xiao, Henry Yang, HongYing Wang, Rene Johannes Marinus van de Veerdonk, David Kuo
  • Patent number: 9017924
    Abstract: A resist composition which generates acid upon exposure and exhibits changed solubility in a developing solution under action of acid, including a base component (A) which exhibits changed solubility in a developing solution under action of acid, the base component (A) including a polymeric compound (A1) containing a structural unit (a0) represented by general formula (a0-1) shown below. In the formula, W1 represents a group which is formed by polymerization reaction of a group containing a polymerizable group; Y1 and Y2 each independently represents a divalent linking group; Y3 represents a carbonyl group or an alkylene group; R2 and R3 each independently represents a fluorine atom or a fluorinated alkyl group; Mm+ represents an organic cation having a valency of m; and m represents an integer of 1 or more.
    Type: Grant
    Filed: March 4, 2014
    Date of Patent: April 28, 2015
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Daichi Takaki, Yoshiyuki Utsumi