Post Image Treatment To Produce Elevated Pattern Patents (Class 430/325)
  • Patent number: 8916327
    Abstract: There is provided an underlayer coating forming composition for lithography, and an underlayer coating having a high dry etching rate compared with photoresist, and causing no intermixing with the photoresist, which are used in lithography process of manufacture of semiconductor device. Concretely, it is an underlayer coating forming composition for lithography comprising a dextrin ester compound that at least 50% of hydroxy groups in dextrin is converted into ester groups, a crosslinking compound, and an organic solvent.
    Type: Grant
    Filed: October 29, 2004
    Date of Patent: December 23, 2014
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Satoshi Takei, Yasushi Sakaida, Tetsuya Shinjo
  • Patent number: 8916330
    Abstract: The present invention provides a resist composition giving a resist pattern excellent in CD uniformity and focus margin. A chemically amplified photoresist composition comprises a resin (A) and an acid generator (B), and the resin (A) contains, as a part or an entirety thereof, a copolymer (A1) which is obtained by polymerizing at least: a (meth)acrylic monomer (a1) having C5-20 alicyclic hydrocarbon group which becomes soluble in an aqueous alkali solution by the action of an acid; a (meth)acrylic monomer (a2) having a hydroxy group-containing adamantyl group; and a (meth)acrylic monomer (a3) having a lactone ring, and the copolymer (A1) has a weight-average molecular weight of 2500 or more and 5000 or less, and a content of the copolymer (A1) is not less than 50 parts by mass with respect to 100 parts by mass of the resin (A).
    Type: Grant
    Filed: June 23, 2010
    Date of Patent: December 23, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Takashi Hiraoka
  • Publication number: 20140370425
    Abstract: Provided is an actinic-ray- or radiation-sensitive resin composition including (A) a resin that when acted on by an acid, is decomposed to thereby increase its alkali solubility, which resin comprises at least either any of repeating units (I) of general formula (I) below or any of repeating units (II) of general formula (II) below, (B) an onium salt acid generator that when exposed to actinic rays or radiation, generates a sulfonic acid whose volume ranges from 250 ?3 to less than 350 ?3, and (C) an onium salt acid generator that when exposed to actinic rays or radiation, generates a sulfonic acid whose volume is 400 ?3 or greater.
    Type: Application
    Filed: August 29, 2014
    Publication date: December 18, 2014
    Applicant: FUJIFILM Corporation
    Inventor: Koutarou TAKAHASHI
  • Publication number: 20140370247
    Abstract: Various laser marking compositions and related methods are described. The laser marking compositions include a molybdenum metal complex, a tungsten metal complex, or combinations thereof. Marks or other indicia formed on a substrate using the compositions and methods exhibit increased contrast and improved substrate bonding.
    Type: Application
    Filed: March 1, 2013
    Publication date: December 18, 2014
    Applicant: Ferro Corporation
    Inventors: Terry J. Detrie, George E. Sakoske, Stephen Rozwood, Joseph E. Sarver
  • Patent number: 8911921
    Abstract: Compounds of formula (I), (II), and (III), wherein R1, R2, R?2 and R??2 for example are C1-C20alkyl, provided that at least one of R1, R2, R?2 and R??2 carries a specified substituent; R3, R4, and R5 for example independently of one another are hydrogen or a defined substituent provided that at least one of R3, R4 or R5 is other than hydrogen or C1-C20alkyl; R6, R7, R8, R?7, RV, R?8, R?6, R?7, R??6 and R??7 for example independently of one another have one of the meanings as given for R3, R4, and R5; and R9 for example is C1-C20alkyl; exhibit an unexpectedly good performance in photopolymerization reactions.
    Type: Grant
    Filed: April 24, 2008
    Date of Patent: December 16, 2014
    Assignee: Ciba Corporation
    Inventors: Akira Matsumoto, Junichi Tanabe, Hisatoshi Kura, Masaki Ohwa
  • Publication number: 20140363772
    Abstract: A resist composition including a high-molecular weight compound having a constituent unit represented by general formula (a0-1), a constituent unit (a1) containing a group containing a monocyclic group or a chain group among acid decomposable groups whose polarity increases by action of acid, a constituent unit (a1?) containing a group containing a polycyclic group among acid decomposable groups whose polarity increases by the action of an acid, and a constituent unit (a2) containing a lactone-containing monocyclic group, with a proportion of the constituent unit (a1) being equal to a proportion of the constituent unit (a1?) or more; and a method for forming a resist pattern using the resist composition.
    Type: Application
    Filed: May 29, 2014
    Publication date: December 11, 2014
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Junichi Tsuchiya, Yuta Iwasawa
  • Publication number: 20140363769
    Abstract: A photoresist composition containing a polymer having a structural unit including an acid-labile group, and a compound represented by the formula (1). In the formula (1), R1 represents a hydrogen atom or a monovalent acid-labile group. R2 represents an alicyclic hydrocarbon group having 3 to 20 carbon atoms and a valency of (m+1). m is an integer of 2 to 5. R3 and R4 each independently represent a hydrogen atom, a fluorine atom, a monovalent hydrocarbon group having 1 to 20 carbon atoms or a monovalent fluorinated hydrocarbon group having 1 to 20 carbon atoms. n is an integer of 0 to 5. At least two of a plurality of R1 s optionally taken together represent a ring structure, together with a plurality of oxygen atoms bonding to R1 and the carbon atom(s) constituting R2 and bonding to these oxygen atoms. M+ represents a monovalent radiation-degradable onium cation.
    Type: Application
    Filed: August 27, 2014
    Publication date: December 11, 2014
    Applicant: JSR CORPORATION
    Inventors: Hayato NAMAI, Kazuo NAKAHARA, Norihiko IKEDA
  • Publication number: 20140363773
    Abstract: A radiation-sensitive resin composition includes a resin including a repeating unit shown by a following general formula (1), a photoacid generator and a photodisintegrating base shown by a following general formula (8). R1 represents a hydrogen atom or a methyl group, and each of R2s individually represents a monovalent alicyclic hydrocarbon group having 4 to 20 carbon atoms or a derivative thereof, a linear or branched alkyl group having 1 to 4 carbon atoms, or the like. Each of R18 to R20 individually represents a hydrogen atom, an alkyl group, an alkoxy group, a hydroxyl group or a halogen atom, and Z? represents OH?, R—COO?, R—SO3? or an anion shown by a following formula (10), wherein R represents an alkyl group, an aryl group or an alkaryl group.
    Type: Application
    Filed: August 21, 2014
    Publication date: December 11, 2014
    Applicant: JSR Corporation
    Inventors: Atsushi Nakamura, Tsutomu Shimokawa, Junichi Takahashi, Takayoshi Abe, Tomoki Nagai, Tomohiro Kakizawa
  • Publication number: 20140363770
    Abstract: A negative tone resist composition for solvent developing including: a base component (A) which exhibits decreased solubility in an organic solvent under the action of acid; a photodecomposable quencher (D0) which generates acid having a pKa of 2.0 or more; and a fluorine additive (F) containing a fluorine-containing polymeric compound (f) which has a structural unit (f0-1) represented by general formula (f0-1) shown below or a structural unit (f0-2) represented by general formula (f0-2) shown below. In the formulae, R represents a hydrogen atom, an alkyl group of 1 to 5 carbon atoms or a halogenated alkyl group of 1 to 5 carbon atoms; and Rf1 represents an aliphatic hydrocarbon group containing a fluorine atom.
    Type: Application
    Filed: June 9, 2014
    Publication date: December 11, 2014
    Inventors: Tomohiro Oikawa, Rikita Tsunoda, Yusuke Suzuki, Jiro Yokoya
  • Publication number: 20140365985
    Abstract: A method for generating a pattern of a mask used for an exposure apparatus through a calculation by a processor includes applying, to a target main pattern, a reference map of a characteristic value of an image of a representative main pattern with respect to a position of a representative auxiliary pattern calculated for each of a plurality of positions while the position of the representative auxiliary pattern with respect to the representative main pattern is changed and calculating a map of the characteristic value of the image of the target main pattern with respect to a position of an auxiliary pattern, and determining the position of the auxiliary pattern by using data of the map of the characteristic value of the image of the target main pattern and generating a pattern of a mask including the target main pattern and the determined auxiliary pattern.
    Type: Application
    Filed: June 5, 2014
    Publication date: December 11, 2014
    Inventors: Hiroyuki Ishii, Kenji Yamazoe
  • Publication number: 20140363758
    Abstract: There is provided a pattern forming method comprising (A) forming a film by using an actinic ray-sensitive or radiation-sensitive resin composition containing a resin containing a repeating unit having a phenol skeleton and a repeating unit having a group capable of decomposing by the action of an acid to produce an alcoholic hydroxy group; (B) exposing the film; and (C) developing the exposed film by using an organic solvent-containing developer.
    Type: Application
    Filed: August 22, 2014
    Publication date: December 11, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Wataru NIHASHI, Hiroo TAKIZAWA, Shuji HIRANO
  • Publication number: 20140360763
    Abstract: A conductive paste includes: composite particles (A) formed by coating a surface of a core material composed of an inorganic material with an antimony-containing compound; a compound (B) having an acid value of 30 to 250 mg KOH/g; and a conductive filler (C).
    Type: Application
    Filed: January 10, 2013
    Publication date: December 11, 2014
    Applicant: Toray Industries, Inc.
    Inventors: Tsukuru Mizuguchi, Kazutaka Kusano
  • Publication number: 20140363767
    Abstract: The method for forming a resin cured film pattern according to the invention comprises a first step in which there is formed on a base material a photosensitive layer composed of a photosensitive resin composition comprising a binder polymer with a carboxyl group having an acid value of 75 mgKOH/g or greater, a photopolymerizable compound and a photopolymerization initiator, and having a thickness of 10 ?m or smaller, a second step in which prescribed sections of the photosensitive layer are cured by irradiation with active light rays, and a third step in which the sections of the photosensitive layer other than the prescribed sections are removed to form a cured film pattern of the prescribed sections of the photosensitive layer, wherein the photosensitive resin composition comprises an oxime ester compound and/or a phosphine oxide compound as the photopolymerization initiator.
    Type: Application
    Filed: December 4, 2012
    Publication date: December 11, 2014
    Applicant: HITACHI CHEMICAL COMPANY, LTD.
    Inventors: Yasuharu Murakami, Hiroshi Yamazaki, Yoshimi Igarashi, Naoki Sasahara, Ikuo Mukai
  • Patent number: 8906592
    Abstract: The invention relates to an antireflective coating composition comprising a crosslinkable polymer, where the crosslinkable polymer comprises at least one unit of fused aromatic moiety, at least one unit with a phenylene moiety in the backbone of the polymer, and at least one hydroxybiphenyl unit, furthermore where the polymer comprises a crosslinking moiety of structure (4), where R?3, R?3 and R??3 are independently hydrogen or a C1-C4alkyl. The invention further relates to a process for forming an image using the composition.
    Type: Grant
    Filed: August 1, 2012
    Date of Patent: December 9, 2014
    Assignee: AZ Electronic Materials (Luxembourg) S.A.R.L.
    Inventors: M. Dalil Rahman, Clement Anyadiegwu, Douglas McKenzie, JoonYeon Cho
  • Patent number: 8906594
    Abstract: Disclosed are compositions for negative-working thick film photophotoresists based on acrylic co-polymers. Also included are methods of using the compositions.
    Type: Grant
    Filed: June 15, 2012
    Date of Patent: December 9, 2014
    Assignee: AZ Electronic Materials (Luxembourg) S.A.R.L.
    Inventors: Chunwei Chen, PingHung Lu, Weihong Liu, Medhat Toukhy, SangChul Kim, SookMee Lai
  • Patent number: 8906597
    Abstract: A method for fabricating a radiation-cured structure is provided. The method includes the steps of providing a first radiation-sensitive material and applying a second radiation-sensitive material to the first radiation-sensitive material. The first radiation-sensitive material has a first sensitivity. The second radiation-sensitive material has a second sensitivity different from the first sensitivity. At least one mask is placed between at least one radiation source and the first and second radiation-sensitive materials. The mask has a plurality of substantially radiation-transparent apertures. The first and second radiation-sensitive materials are then exposed to a plurality of radiation beams through the radiation-transparent apertures in the mask to form a first construct in the first radiation-sensitive material and a second construct in the second radiation-sensitive material. The first construct and the second construct cooperate to form the radiation-cured structure.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: December 9, 2014
    Assignee: GM Global Technology Operations LLC
    Inventors: Jeffrey A. Rock, Gerald W. Fly, Yeh-Hung Lai, Keith E. Newman, Alan J. Jacobsen, William B. Carter, Peter D. Brewer
  • Publication number: 20140356789
    Abstract: A method of patterning a device comprises providing on a device substrate a layer of a fluorinated photopolymer comprising at least three distinct repeating units including a first repeating unit having a fluorine-containing group, a second repeating unit having an acid- or alcohol-forming precursor group, and a third repeating unit having an anthracene-based sensitizing dye. The photopolymer has a total fluorine content in a range of 15 to 60% by weight. The photopolymer layer is exposed to patterned light and contacted with a developing agent to remove a portion of exposed photopolymer layer in accordance with the patterned light, thereby forming a developed structure having a first pattern of photopolymer covering the substrate and a complementary second pattern of uncovered substrate corresponding to the removed portion of photopolymer. The developing agent comprises at least 50% by volume of a fluorinated solvent.
    Type: Application
    Filed: May 30, 2014
    Publication date: December 4, 2014
    Inventors: Charles Warren Wright, Ralph Rainer Dammel
  • Publication number: 20140356771
    Abstract: There is provided an actinic ray-sensitive or radiation-sensitive resin composition, having: (A) a resin having a repeating unit represented by formula (I); (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation; and (C) a resin having at least one repeating unit (x) out of a repeating unit represented by formula (II) and a repeating unit represented by formula (III) and containing substantially neither fluorine atom nor silicon atom, wherein the content of the repeating unit (x) is 90% or more by mole based on all repeating units in the resin (C).
    Type: Application
    Filed: July 30, 2014
    Publication date: December 4, 2014
    Inventors: Shuhei YAMAGUCHI, Hidenori TAKAHASHI, Junichi ITO, Kei YAMAMOTO
  • Publication number: 20140356787
    Abstract: A resist composition comprising a compound (m0) (wherein Rb1 represents an electron withdrawing group; Rb2 and Rb3 each independently represents an aryl group, an alkyl group or an alkenyl group, provided that Rb2 and Rb3 may be mutually bonded to form a ring with the sulfur atom; and X0? represents a monovalent counteranion).
    Type: Application
    Filed: May 30, 2014
    Publication date: December 4, 2014
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yoshitaka Komuro, Takaaki Kaiho, Toshiaki Hato, Akiya Kawaue, Junichi Tsuchiya, Yoshiyuki Utsumi
  • Publication number: 20140356788
    Abstract: A method of patterning a device comprises providing on a device substrate a layer of a fluorinated photopolymer comprising at least three distinct repeating units including a first repeating unit having a fluorine-containing group, a second repeating unit having an acid- or alcohol-forming precursor group, and a third repeating unit having a sensitizing dye. The photopolymer has a total fluorine content in a range of 15 to 60% by weight. The photopolymer layer is exposed to patterned light and contacted with a developing agent to remove a portion of exposed photopolymer layer in accordance with the patterned light, thereby forming a developed structure having a first pattern of photopolymer covering the substrate and a complementary second pattern of uncovered substrate corresponding to the removed portion of photopolymer. The developing agent comprises at least 50% by volume of a fluorinated solvent.
    Type: Application
    Filed: May 30, 2014
    Publication date: December 4, 2014
    Inventors: Charles Warren Wright, Douglas Robert Robello
  • Publication number: 20140356792
    Abstract: [Object] To provide a composition for forming a tungsten oxide film from an aqueous solution, and also to provide a pattern formation method employing that composition. [Means] The present invention provides a tungsten oxide film-forming composition comprising: water, a water-soluble metatungstate, and at least one additive selected from the group consisting of anionic polymers, nonionic polymers, anionic surfactants, and tertiary amino group-containing nonionic surfactants. For forming a pattern, this composition can be employed in place of a silicon dioxide film-forming composition in a pattern formation process using an image reversal trilayer structure, a resist undercoat layer or a resist top protective film.
    Type: Application
    Filed: August 10, 2012
    Publication date: December 4, 2014
    Applicant: AZ ELECTRONIC MATERIALS USA CORP.
    Inventor: Go Noya
  • Publication number: 20140356785
    Abstract: New photoresist compositions are provided that comprise a carbamate compound that comprises 1) a carbamate group and 2) an ester group. Preferred photoresists of the invention may comprise a resin with acid-labile groups; an acid generator compound; and a carbamate compound that can function to decrease undesired photogenerated-acid diffusion out of unexposed regions of a photoresist coating layer.
    Type: Application
    Filed: May 31, 2013
    Publication date: December 4, 2014
    Inventors: William Williams, III, Cong Liu, Cheng-Bai Xu
  • Patent number: 8900796
    Abstract: The present invention provides an acid generator generates a sulfonic acid represented by the following general formula (1) in response to high-energy beam or heat: To provide a novel acid generator which is suitably used as an acid generator for a resist composition, which solves the problems of LER and a depth of focus and can be effectively and widely used particularly without degradation of a resolution, a chemically amplified resist composition using the same, and a patterning process.
    Type: Grant
    Filed: February 15, 2013
    Date of Patent: December 2, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Masaki Ohashi, Tomohiro Kobayashi, Masayoshi Sagehashi
  • Patent number: 8900791
    Abstract: An actinic ray-sensitive or radiation-sensitive resin composition includes any of the compounds of general formula (I) below; wherein: Ar represents an aromatic ring that may have a substituent other than the -(A-B) groups; n is an integer of 1 or greater; A represents any one, or a combination of two or more members selected from a single bond, an alkylene group, —O—, —S—, —C(?O)—, —S(?O)—, —S(?O)2— and —OS(?O)2—, provided that —C(?O)O— is excluded; B represents a group containing a hydrocarbon group having 4 or more carbon atoms wherein either a tertiary or a quaternary carbon atom is contained, when n is 2 or greater, the two or more -(A-B) groups may be identical to or different from each other; and M+ represents an organic onium ion.
    Type: Grant
    Filed: March 24, 2011
    Date of Patent: December 2, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Tomotaka Tsuchimura, Koji Shirakawa, Toru Tsuchihashi, Hideaki Tsubaki
  • Publication number: 20140349222
    Abstract: Provided is a photosensitive resin composition which comprises: (A-1) a resin containing a structure represented by general formula (1); and (B) a photo-acid generating agent. In general formula (1), X, R1 to R7, m1 to m4, n1, n2, Y and W are each as defined in the description.
    Type: Application
    Filed: December 6, 2012
    Publication date: November 27, 2014
    Applicant: ASAHI KASEI E-MATERIALS CORPORATION
    Inventors: Satoshi Shibui, Tatsuya Hirata, Takahiro Sasaki, Taisuke Yamada
  • Publication number: 20140349224
    Abstract: There is provided a pattern forming method comprising (1) a step of forming a film by using an actinic ray-sensitive or radiation-sensitive resin composition containing (A) a resin containing an acid-decomposable repeating unit and being capable of decreasing the solubility for an organic solvent-containing developer by the action of an acid, (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, (C) a compound capable of decomposing by the action of an acid to generate an acid, and (D) a solvent; (2) a step of exposing the film by using an actinic ray or radiation, and (4) a step of developing the exposed film by using an organic solvent-containing developer to form a negative pattern.
    Type: Application
    Filed: August 5, 2014
    Publication date: November 27, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Hiroo TAKIZAWA, Tomotaka TSUCHIMURA, Takeshi KAWABATA, Takuya TSURUTA
  • Publication number: 20140346519
    Abstract: An active array substrate includes a flexible substrate, an inorganic barrier layer, and at least one active component. The inorganic barrier layer covers the flexible substrate. The inorganic barrier layer has a through hole therein. The through hole of the inorganic barrier layer exposes the flexible substrate. The active component is disposed on the inorganic barrier layer.
    Type: Application
    Filed: March 4, 2014
    Publication date: November 27, 2014
    Applicant: AU Optronics Corporation
    Inventors: Tsung-Ying KE, Pin-Fan WANG, Tsi-Hsuan HSU, Wei-Te LEE
  • Publication number: 20140349223
    Abstract: Provided is an actinic-ray- or radiation-sensitive resin composition including a compound that when exposed to actinic rays or radiation, generates any of acids of general formula (I) below.
    Type: Application
    Filed: August 5, 2014
    Publication date: November 27, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Takeshi KAWABATA, Hideaki TSUBAKI, Hiroo TAKIZAWA
  • Publication number: 20140349235
    Abstract: The present invention provides a drawing apparatus for performing drawing on a substrate with a plurality of charged particle beams, the apparatus including an aperture array member in which a plurality of first apertures, for generating the plurality of charged particle beams, is formed, and a generating device configured to individually generate electric potentials in a plurality of regions of the aperture array member, wherein each of the plurality of regions corresponds to at least one of the plurality of first apertures.
    Type: Application
    Filed: May 22, 2014
    Publication date: November 27, 2014
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Keisuke NAKAMURA
  • Publication number: 20140349237
    Abstract: A method that forms a film of photoresist composition on a substrate and exposes a first and second region of the film to radiation through a first and second mask having a first and second image pattern, respectively. The photoresist composition includes a polymer comprising at least one acid labile group, a photosensitive acid generator capable of generating a first amount of acid upon exposure to a first dose of radiation and of generating a second amount of acid upon exposure to a second dose of radiation, and a photosensitive base generator capable of generating a first amount of base upon exposure to the first dose of radiation and of generating a second amount of base upon exposure to the second dose of radiation. The photosensitive acid generator includes (trifluoro-methylsulfonyloxy)-bicyclo[2.2.1]hept-5-ene-2,3-dicarboximide (MDT), N-hydroxy-naphthalimide dodecane sulfonate (DDSN), or a combination thereof. The photosensitive base generator includes a quaternary ammonium salt.
    Type: Application
    Filed: August 12, 2014
    Publication date: November 27, 2014
    Inventors: Kuang-Jung Chen, Wu-Song Huang, Ranee Wai-Ling Kwong, Sen Liu, Pushkara R. Varanasi
  • Publication number: 20140347643
    Abstract: The present invention provides a lithography apparatus which forms a pattern on a substrate, the apparatus including a first dosing device configured to apply a first dose to the substrate based on data corresponding to the pattern, an acquiring device configured to acquire information of an error of a dimension of a pattern formed on the substrate via application of the first dose thereto, and a second dosing device configured to apply a second dose to the substrate based on the acquired information.
    Type: Application
    Filed: May 21, 2014
    Publication date: November 27, 2014
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Masashi KOTOKU, Tomoyuki MIYASHITA, Hiromi KINEBUCHI
  • Publication number: 20140349221
    Abstract: There is provided an actinic ray-sensitive or radiation-sensitive resin composition containing a compound capable of generating an acid upon irradiation with an actinic ray or radiation, represented by the formula (Z1), and the formula (Z1) is defined as herein, and a resist film formed using the actinic ray-sensitive or radiation-sensitive resin composition, a pattern forming method comprising a step of forming a film by using the actinic ray-sensitive or radiation-sensitive resin composition, a step of exposing the film, and a step of developing the exposed film, a method for manufacturing an electronic device, comprising the pattern forming method, and an electronic device manufactured by the method for manufacturing an electronic device.
    Type: Application
    Filed: August 13, 2014
    Publication date: November 27, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Hiroo TAKIZAWA, Tomotaka TSUCHIMURA
  • Publication number: 20140349225
    Abstract: There is provided a pattern forming method, including: (a) forming a film by an actinic ray-sensitive or radiation-sensitive resin composition containing: (A) a resin capable of increasing polarity by an action of an acid to decrease solubility in an organic solvent-containing developer, (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, (C) a solvent, and (D) a resin, which contains substantially no fluorine atom and silicon atom and is other than the resin (A), (b) exposing the film; and (c) performing development using the organic solvent-containing developer to form a negative type pattern, wherein a receding contact angle of water on the film formed by (a) is 70° or more.
    Type: Application
    Filed: August 11, 2014
    Publication date: November 27, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Kei YAMAMOTO, Hidenori TAKAHASHI, Shuhei YAMAGUCHI, Junichi ITO
  • Patent number: 8895229
    Abstract: A composition for formation of upper layer film, which is used for forming an upper layer film on the surface of a photoresist film and which comprises a resin (A) having a repeating unit represented by the following general formula (1-1) and not having a repeating unit represented by the following general formula (1-2), and a resin (B) having a repeating unit represented by the following general formula (1-2) and not having a repeating unit represented by the following general formula (1-1). [In the general formulas (1-1) and (1-2), R1 is hydrogen or the like; R2 is single bonds or the like; and R3 is a fluorine-substituted, linear or branched alkyl group having 1 to 12 carbon atoms, or the like.] The composition can form an upper layer film giving a sufficiently high receded contact angle.
    Type: Grant
    Filed: October 11, 2007
    Date of Patent: November 25, 2014
    Assignee: JSR Corporation
    Inventors: Yukio Nishimura, Norihiko Sugie, Hiromitsu Nakashima, Norihiro Natsume, Daita Kouno
  • Patent number: 8895234
    Abstract: Provided is a method of performing a lithography process. The method includes: exposing, through an immersion lithography process, a photo-sensitive material on a substrate, the immersion lithography process using a fluid for the exposing; thereafter treating the photo-sensitive material with a solution to neutralize quenchers that have diffused into the photo-sensitive material through the liquid, wherein the solution contains a substance that diffuses into the photo-sensitive material at a first rate that is dependent on a second at which the quenchers diffuse into the photo-sensitive material; thereafter removing a portion of the photo-sensitive material; thereafter performing a post-exposure bake to the photo-sensitive material; and developing the photo-sensitive material.
    Type: Grant
    Filed: February 6, 2013
    Date of Patent: November 25, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ching-Yu Chang, Vincent Yu
  • Publication number: 20140342274
    Abstract: A polymer comprising 0.5-10 mol % of recurring units having acid generating capability and 50-99.5 mol % of recurring units providing for dissolution in alkaline developer is used to formulate a chemically amplified negative resist composition. When used in a lithography process, the composition ensures an effective sensitivity, makes more uniform the distribution and diffusion of the acid generating component in a resist film, and suppresses deactivation of acid at the substrate interface. The pattern can be formed to a profile which is improved in LER and undercut.
    Type: Application
    Filed: August 4, 2014
    Publication date: November 20, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Keiichi Masunaga, Daisuke Domon, Satoshi Watanabe
  • Publication number: 20140340661
    Abstract: The present invention provides an exposure apparatus which exposes a substrate, comprising a substrate stage configured to be movable while holding the substrate, a measurement unit configured to measure a height of the substrate by irradiating the substrate with light and detecting the light reflected by the substrate, and a control unit configured to control the measurement unit, wherein the control unit obtains a velocity profile of the substrate stage and decides, based on the velocity profile, a plurality of timings to perform measurement by the measurement unit to equally space a plurality of measurement portions on the substrate measured by the measurement unit in a state in which an acceleration of the substrate stage is nonzero.
    Type: Application
    Filed: April 24, 2014
    Publication date: November 20, 2014
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Hiroshi SATO
  • Publication number: 20140342287
    Abstract: A fluorinated photopolymer is formed on a device substrate and exposed to patterned radiation. The photopolymer has a total fluorine content in a weight range of 15 to 60% and comprises at least three distinct repeating units, including a first repeating unit having a fluorine-containing group, a second repeating unit having an acid- or alcohol-forming precursor group, and a third repeating unit different from the first and second repeating units. The pattern-exposed photopolymer layer is contacted with a developing solution comprising at least a first fluorinated solvent that dissolves the unexposed photopolymer thereby forming a developed structure having a first pattern of photopolymer covering the substrate and a complementary second pattern of uncovered substrate. The developing solution is selected to provide a maximum photopolymer contrast in a range of 1.9 to 5.0.
    Type: Application
    Filed: April 24, 2014
    Publication date: November 20, 2014
    Inventors: Charles Warren Wright, Diane Carol Freeman, Frank Xavier Byrne, John Andrew DeFranco, Sandra Rubsam, Terrrence Robert O'Toole, Douglas Robert Robello
  • Publication number: 20140342275
    Abstract: An actinic ray-sensitive or radiation-sensitive resin composition contains a compound (P) that contains at least one phenolic hydroxyl group and at least one group in which a hydrogen atom of a phenolic hydroxyl group has been substituted with a group represented by the following General Formula (1) (in the formula, M11 represents a single bond or a divalent linking group; Q11 represents an alkyl group, a cycloalkyl group, or an aryl group).
    Type: Application
    Filed: August 5, 2014
    Publication date: November 20, 2014
    Applicant: FUJIFILM Corporation
    Inventors: Takeshi INASAKI, Takeshi KAWABATA, Tomotaka TSUCHIMURA, Toru TSUCHIHASHI
  • Publication number: 20140342292
    Abstract: A method of preparing a DIABS-based silsesquioxane resin for use in an antireflective hard-mask coating for photolithography is provided. Methods of preparing an antireflective coating from the DIABS-based silsesquioxane resin and using said antireflective coating in photolithography is alternatively presented. The DIABS-based silsequioxane resin has structural units formed from the hydrolysis and condensation of silane monomers including di-t-butoxydiacetoxysilane (DIABS) and at least one selected from the group of R1 SiX3, R2SiX3, R3SiX3, and SiX4 with water; wherein R1 is H or an alkyl group, X is a halide or an alkoxy group, R2 is a chromophore moiety, and R3 is a reactive site or crosslinking site. The DIABS-based silsesqioxane resin is characterized by the presence of at least one tetra-functional SiO4/2 unit formed via the hydrolysis of di-t-butoxydiacetoxysilane (DIABS).
    Type: Application
    Filed: January 8, 2013
    Publication date: November 20, 2014
    Inventors: Peng-Fei Fu, Eric S. Moyer, Jason Suhr
  • Publication number: 20140342564
    Abstract: The present disclosure provides one embodiment of a mask for a lithography exposure process. The mask includes a mask substrate; a first mask material layer patterned to have a first plurality of openings that define a first layer pattern; and a second mask material layer patterned to have a second plurality of openings that define a second layer pattern.
    Type: Application
    Filed: September 18, 2013
    Publication date: November 20, 2014
    Inventors: Yen-Cheng Lu, Chih-Tsung Shih, Shinn-Sheng Yu, Jeng-Horng Chen, Anthony Yen
  • Publication number: 20140342288
    Abstract: The present invention provides a radiation-sensitive resin composition that contains a polymer having a structural unit that includes an acid-labile group; and an acid generator, wherein the acid generator includes a compound including a sulfonate anion having SO3?, wherein a hydrogen atom or an electron-donating group bonds to an ? carbon atom with respect to SO3?, and an electron-withdrawing group bonds to a ? carbon atom with respect to SO3?; and a radiation-degradable onium cation. The compound preferably has a group represented by the following formula (1-1) or (1-2). In the following formulae (1-1) and (1-2), R1 and R2 each independently represent a hydrogen atom or a monovalent electron-donating group. R3 represent a monovalent electron-withdrawing group. R4 represents a hydrogen atom or a monovalent hydrocarbon group.
    Type: Application
    Filed: May 20, 2014
    Publication date: November 20, 2014
    Applicant: JSR CORPORATION
    Inventors: Hiroshi TOMIOKA, Takakazu KIMOTO, Yusuke ASANO
  • Patent number: 8889339
    Abstract: A resist pattern forming method contains: in the following order, (1) forming a resist film by using a negative chemical amplification resist composition containing (A) a polymer compound having a repeating unit represented by formula (1) as defined in the specification, (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation and (C) a crosslinking agent capable of crosslinking the polymer compound (A) by an action of an acid; (2) exposing the resist film, so as to form an exposed resist film; and (4) developing the exposed resist film by using a developer containing an organic solvent.
    Type: Grant
    Filed: September 24, 2013
    Date of Patent: November 18, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Toru Tsuchihashi, Tadateru Yatsuo, Koutarou Takahashi, Tomotaka Tsuchimura
  • Patent number: 8889323
    Abstract: A photosensitive resin composition having excellent storage stability which can form a high precision pattern upon a low amount of exposure; a method of forming a pattern including a polysiloxane coating with the photosensitive resin composition; and an electronic component including a pattern including a polysiloxane coating formed with the photosensitive resin composition. A compound which generates an imidazole compound having a predetermined structure by light is added to a photosensitive resin composition including one or more hydrolyzable silane compounds, hydrolysates of the hydrolyzable silane compounds and condensates thereof and a photo-base generator or a photo-acid generator.
    Type: Grant
    Filed: December 26, 2013
    Date of Patent: November 18, 2014
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Dai Shiota, Mayumi Kuroko, Tatsuro Ishikawa
  • Publication number: 20140335448
    Abstract: [Object] To provide a photosensitive siloxane resin composition excellent in alkali-solubility and in sensitivity, and also to provide a pattern-formation method employing that. [Means] The present invention provides a photosensitive siloxane resin composition comprising: a siloxane resin having silanol groups or alkoxysilyl groups, a crown ether, a photosensitive material, and an organic solvent. This photosensitive composition is cast on a substrate, subjected to imagewise exposure, treated with an alkali aqueous solution, and cured to form a pattern.
    Type: Application
    Filed: May 17, 2012
    Publication date: November 13, 2014
    Applicant: AZ ELECTRONIC MATERIALS USA CORP.
    Inventors: Takashi Sekito, Daishi Yokoyama, Takashi Fuke, Yuki Tashiro, Toshiaki Nonaka, Yasuaki Tanaka
  • Publication number: 20140334027
    Abstract: Oxime ester compounds of formula (I), wherein R1, R2, R3, R4, R5, R6, R7, R8, R9, and R10 independently of each other are hydrogen, C1-C20alkyl, (A), COR14, NO2, or OR15; provided that at least one of R1, R2, R3, R4, R5, R6, R7, R8, R9, and R10 is (A), and provided that at least one of the remaining R1, R2, R3, R4, R5, R6, R7, R8, R9, and R10 is COR14 or NO2; R11 is for example C1-C20alkyl, M or (B); X and X1 are for example a direct bond; R12 and R?12 are for example hydrogen or C1-C20alkyl; R13 and R?13 are for example C6-C20aryl or C3-C20heteroaryl; M is (X), (Y) or (Z); X3 is O, S or NR19; R14 and R15 are for example phenyl; R20, R21, R22, R23, R24, or R25 for example are hydrogen, COR14, NO2, or (B); provided that at least two oxime ester groups are present in the molecule; are useful as photoinitiators.
    Type: Application
    Filed: December 3, 2012
    Publication date: November 13, 2014
    Applicant: BASF SE
    Inventors: Yuichi Nishimae, Hisatoshi Kura, Kazuhiko Kunimoto, Ryuhei Yamagami, Keita Tanaka
  • Patent number: 8883394
    Abstract: The present invention provides a resist composition giving a resist pattern excellent in CD uniformity and focus margin. A chemically amplified photoresist composition comprises a resin (A) and an acid generator (B), and the resin (A) contains, as a part or an entirety thereof, a copolymer (A1) which is obtained by polymerizing at least: a (meth)acrylic monomer (a1) having C5-20 alicyclic hydrocarbon group which becomes soluble in an aqueous alkali solution by the action of an acid; a (meth)acrylic monomer (a2) having a hydroxy group-containing adamantyl group; and a (meth)acrylic monomer (a3) having a lactone ring, and the copolymer (A1) has a weight-average molecular weight of 2500 or more and 5000 or less, and a content of the copolymer (A1) is not less than 50 parts by mass with respect to 100 parts by mass of the resin (A).
    Type: Grant
    Filed: June 23, 2010
    Date of Patent: November 11, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Takashi Hiraoka
  • Patent number: 8883379
    Abstract: A pattern is printed by forming a photoresist layer on a wafer, forming a protective film thereon, exposure, and development. The protective film is formed from a protective film-forming composition comprising a novolak resin of a bisphenol compound and a mixture of an alcohol solvent and an ether or aromatic solvent.
    Type: Grant
    Filed: December 6, 2012
    Date of Patent: November 11, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Daisuke Kori
  • Patent number: 8883398
    Abstract: The present application relates to a photoactive compound including an oxime ester group and a phosphonate group together, and a photosensitive resin composition comprising the same, the compound of the present application having excellent storage stability and high-temperature process characteristics.
    Type: Grant
    Filed: May 22, 2013
    Date of Patent: November 11, 2014
    Assignee: LG Chem, Ltd.
    Inventors: Changho Cho, Sunghyun Kim, Han Soo Kim, Sunhwa Kim, Raisa Kharbash, Jongho Park
  • Patent number: 8883406
    Abstract: A method for supplying a first gas and a second gas using a purge ring in a photonic processing system includes arranging a first layer and a second layer to define a first plenum and a first baffle, arranging the second layer and a third layer to define a second plenum and a second baffle, receiving a first gas at the first plenum that flows through the first plenum and the first baffle to an inner region, and receiving a second gas at the second plenum that flows through the second plenum and the second baffle to the inner region. The second baffle is one of less restrictive and more restrictive than the first baffle.
    Type: Grant
    Filed: November 5, 2013
    Date of Patent: November 11, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: James Lee, Lisa Gytri