Post Image Treatment To Produce Elevated Pattern Patents (Class 430/325)
  • Publication number: 20140234784
    Abstract: A developing solution for a polyimide precursor containing N,N,N?,N?-tetramethylurea and a lower alcohol having 1 to 5 carbon atoms. The developing solution increases a development margin and results in little or no decrease of the film thickness of a polyimide-based resin film. A development processing method of a photosensitive polyimide resin composition including developing a photosensitive polyimide precursor resin composition, at least a part of which is exposed, with the developing solution; and a pattern formation method including forming a coating film or molding including a photosensitive polyimide precursor resin composition, selectively exposing the coating film or molding, and developing the exposed coating film or molding by the development processing method.
    Type: Application
    Filed: January 30, 2014
    Publication date: August 21, 2014
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Hiroki Chisaka, Kunihiro Noda, Dai Shiota
  • Publication number: 20140234777
    Abstract: It is an object of the present invention to improve the curability of a resin composition and a photosensitive composition suitably employed to form e.g., a surface protecting film and an interlaminar insulating film of e.g., an electronic part, while reducing the internal stress remaining in a substrate when the composition is used to form a cured film on the substrate. The photosensitive composition includes a resin (A) having a phenolic hydroxyl group, a crosslinking agent (B1) having at least two oxazoline groups and a crosslinking agent (B2) having at least two groups represented by —CH2OR (wherein R is a hydrogen atom, an alkyl group having 1 to 10 carbon atoms or an acetyl group) and a photosensitive acid-generating agent (C).
    Type: Application
    Filed: January 29, 2014
    Publication date: August 21, 2014
    Applicant: JSR CORPORATION
    Inventors: Tomohiko SAKURAI, Masaaki HANAMURA
  • Publication number: 20140234762
    Abstract: A pattern forming method including: a process of forming a film using an actinic ray-sensitive or radiation-sensitive resin composition containing a resin including a Repeating Unit (a1) having a group capable of being decomposed by acid and generating a carboxyl group, and a compound capable of generating acid through irradiation of actinic rays or radiation; a process of exposing the film; and a process of developing the exposed film using a developer including an organic solvent to form a negative tone pattern, wherein the value X obtained by substituting the number of each atom included in the Repeating Unit (a1) after being decomposed by acid and generating a carboxyl group in the following formula is 0<X?5.
    Type: Application
    Filed: May 8, 2014
    Publication date: August 21, 2014
    Applicant: FUJIFILM Corporation
    Inventors: Shuhei YAMAGUCHI, Hidenori TAKAHASHI, Michihiro SHIRAKAWA, Shohei KATAOKA, Shoichi SAITOH, Fumihiro YOSHINO
  • Patent number: 8808974
    Abstract: A method for forming a pattern includes providing a first positive-working radiation-sensitive resin composition on a substrate to form a first resist layer. The first positive-working radiation-sensitive resin composition includes a crosslinking agent, a polymer containing an acid-unstable group and not containing a crosslinking group, a radiation-sensitive acid generator, and a solvent. The first resist layer is exposed selectively to radiation, and developed to form a first resist pattern. The first resist pattern is made inactive to radiation, or insolubilized in an alkaline developer or in a second positive-working radiation-sensitive resin composition. The second positive-working radiation-sensitive resin composition is provided on the substrate to form a second resist layer. The second resist layer is exposed selectively to radiation, and developed to form a second resist pattern in the space area of the first resist pattern.
    Type: Grant
    Filed: February 19, 2013
    Date of Patent: August 19, 2014
    Assignee: JSR Corporation
    Inventors: Yukio Nishimura, Kaori Sakai, Nobuji Matsumura, Makoto Sugiura, Atsushi Nakamura, Gouji Wakamatsu, Yuusuke Anno
  • Patent number: 8808959
    Abstract: A resist composition including a base component (A) which exhibits changed solubility in an alkali developing solution under action of acid and an acid-generator component (B) including a compound represented by (b1-1), a compound represented by (b1-1?) and/or a compound represented by (b1-1?) (R1?-R3? represents an aryl group or an alkyl group, provided that at least one of R1?-R3? represents a substituted aryl group being substituted with a group represented by (b1-1-0), and two of R1?-R3? may be mutually bonded to form a ring with the sulfur atom; X represents a C3-C30 hydrocarbon group; Q1 represents a carbonyl group-containing divalent linking group; X10 represents a C1-C30 hydrocarbon group; Q3 represents a single bond or a divalent linking group; Y10 represents —C(?O)— or —SO2—; Y11 represents a C1-C10 alkyl group or a fluorinated alkyl group: Q2 represents a single bond or an alkylene group; and W represents a C2-C10 alkylene group).
    Type: Grant
    Filed: November 10, 2009
    Date of Patent: August 19, 2014
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Hideo Hada, Yoshiyuki Utsumi, Takehiro Seshimo, Akiya Kawaue
  • Publication number: 20140227643
    Abstract: A pattern is formed by coating a first resist composition comprising a resin comprising recurring units having an acid labile group so that it may turn insoluble in organic solvent upon elimination of the acid labile group, a photoacid generator, and a first organic solvent, onto a processable substrate, prebaking, exposing, PEB, and developing in an organic solvent developer to form a first negative pattern; heating the negative pattern to render it resistant to a second organic solvent used in a second resist composition; coating the second resist composition, prebaking, exposing, PEB, and developing in an organic solvent developer to form a second negative pattern. The first and second negative patterns are simultaneously formed.
    Type: Application
    Filed: January 16, 2014
    Publication date: August 14, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama
  • Publication number: 20140227642
    Abstract: A negative resist composition, includes: (A) an alkali-soluble polymer containing a specific repeating unit as defined in the specification; (B) a crosslinking agent capable of crosslinking with the alkali soluble polymer (A) under an action of an acid; (C) a compound capable of generating an acid upon irradiation with actinic rays or radiation; (D) a specific quaternary ammonium salt as defined in the specification; and (E) an organic carboxylic acid, and a pattern forming method uses the composition.
    Type: Application
    Filed: April 17, 2014
    Publication date: August 14, 2014
    Applicant: FUJIFILM Corporation
    Inventors: Koji SHIRAKAWA, Tadateru YATSUO
  • Publication number: 20140227636
    Abstract: Provided is an actinic-ray- or radiation-sensitive resin composition including a resin (Aa) containing at least one repeating unit (Aa1) derived from monomers of general formula (aa1-1) below and at least one repeating unit (Aa2) derived from monomers of general formula (aa2-1) below and comprising a resin (Ab) that when acted on by an acid, changes its alkali solubility.
    Type: Application
    Filed: March 28, 2014
    Publication date: August 14, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Shuji HIRANO, Hiroo TAKIZAWA, Hideaki TSUBAKI
  • Publication number: 20140227637
    Abstract: A pattern forming method contains: (i) a step of forming a bottom anti-reflective coating on a substrate by using a first resin composition (I), (ii) a step of forming a resist film on the bottom anti-reflective coating by using a second resin composition (II), (iii) a step of exposing a multi-layered film having the bottom anti-reflective coating and the resist film, and (iv) a step of developing the bottom anti-reflective coating and the resist film in the exposed multi-layered film by using an organic solvent-containing developer to form a negative pattern.
    Type: Application
    Filed: April 21, 2014
    Publication date: August 14, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Keita KATO, Michihiro SHIRAKAWA, Tadahiro ODANI, Atsushi NAKAMURA, Hidenori TAKAHASHI, Kaoru IWATO
  • Publication number: 20140227641
    Abstract: Embodiments include a silicon-containing antireflective material including a silicon-containing base polymer, a non-polymeric silsesquioxane material, and a photoacid generator. The silicon-containing base polymer may contain chromophore moieties, transparent moieties, and reactive sites on an SiOx background, where x ranges from approximately 1 to approximately 2. Exemplary non-polymeric silsesquioxane materials include polyhedral oligomeric silsesquioxanes having acid labile side groups linked to hydrophilic groups Exemplary acid labile side groups may include tertiary alkyl carbonates, tertiary alkyl esters, tertiary alkyl ethers, acetals and ketals, Exemplary hydrophilic groups may include phenols, alcohols, carboxylic acids, amides, and sulfonamides.
    Type: Application
    Filed: February 14, 2013
    Publication date: August 14, 2014
    Applicants: SHIN-ETSU CHEMICAL CO., LTD., INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Martin Glodde, Wu-Song Huang, Javier Perez, Ratnam Sooriyakumaran, Takeshi Kinsho, Tsutomu Ogihara, Seiichiro Tachibana, Takafumi Ueda
  • Publication number: 20140227644
    Abstract: A functional film which is applied to a surface of a base material includes a film of Ti-doped tetrahedral amorphous carbon (ta-C:Ti film).
    Type: Application
    Filed: January 21, 2014
    Publication date: August 14, 2014
    Applicant: Nikon Corporation
    Inventors: Tsukasa KISHIUME, Yusuke TAKI
  • Patent number: 8802351
    Abstract: A water dispersible composition comprises a polyaniline copolymer having a weight average molecular weight of at least 30,000 and a polymeric acid comprising sulfonic acid groups. The polyaniline copolymer comprises i) about 10 mol % to about 15 mol % of a fluorine-containing first aniline repeat unit based on total moles of repeat units in the polyaniline copolymer, and ii) a second aniline repeat unit comprising no fluorine. The sulfonic acid groups of the polymeric acid are present in a molar amount greater than or equal to total moles of repeat units of the polyaniline copolymer. The composition has a conductivity of at least 0.0001 S/cm.
    Type: Grant
    Filed: July 31, 2012
    Date of Patent: August 12, 2014
    Assignees: International Business Machines Corporation, Shin-Etsu Chemical Co. Ltd.
    Inventors: Luisa Dominica Bozano, Takayuki Nagasawa, Mark Hull Sherwood, Ratnam Sooriyakumaran, Linda Karin Sundberg, Satoshi Watanabe
  • Patent number: 8802356
    Abstract: A method for manufacturing a photosensitive film pattern includes: forming a thin film on a substrate; forming a photosensitive film on the thin film; arranging an exposure apparatus including a photo-modulation element on the photosensitive film; exposing the photosensitive film using the exposure apparatus according to an exposure pattern of the photo-modulation element; and developing the exposed photosensitive film to form a photosensitive film pattern. The exposure pattern includes a main pattern of a quadrangular shape and a at least one assistance pattern positioned at a corner of the main pattern. The photosensitive film pattern has a quadrangular shape with a long edge and a short edge, and a corner with a curved surface having a curvature radius of 20% to 40% of a length of the short edge.
    Type: Grant
    Filed: December 2, 2011
    Date of Patent: August 12, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Sang Hyun Yun, Cha-Dong Kim, Jung-In Park, Hi Kuk Lee, Su-Yeon Sim
  • Publication number: 20140218707
    Abstract: An exposure apparatus which transfers a pattern formed on a mask onto a photosensitive substrate placed in proximity to the mask, the apparatus including an illumination optical device which illuminates the mask with an energy beam, a mask holding device which holds a periphery area of a pattern area of the mask from above, and makes a force at least within a plane parallel to a predetermined plane act on the mask and a substrate holding device which moves along the predetermined plane holding the substrate.
    Type: Application
    Filed: April 4, 2014
    Publication date: August 7, 2014
    Applicant: Nikon Corporation
    Inventor: Yuichi SHIBAZAKI
  • Publication number: 20140220494
    Abstract: A pattern generator includes a minor array plate having a mirror, at least one electrode plate disposed over the minor array plate, a lens let disposed over the minor, and at least one insulator layer sandwiched between the mirror array plate and the electrode plate. The electrode plate includes a first conducting layer and a second conducting layer. The lens let has a non-straight sidewall formed in the electrode plate. The pattern generator further includes at least one insulator sandwiched between two electrode plates. The non-straight sidewall can be a U-shaped sidewall or an L-shaped sidewall.
    Type: Application
    Filed: February 1, 2013
    Publication date: August 7, 2014
    Inventor: Chen-Hua Yu
  • Publication number: 20140220497
    Abstract: A resin structure for the formation of a micro-structure is manufactured by (A) applying a composition comprising a polymer, a photoacid generator, an epoxy compound, and an organic solvent onto a substrate, (B) heating the composition to form a sacrificial film, (C) exposing imagewise the film to first high-energy radiation, (D) developing the film in an alkaline developer to form a sacrificial film pattern, (E) exposing the sacrificial film pattern to UV as second high-energy radiation, and (F) heating the substrate at 80-250° C. The exposure dose of first high-energy radiation in step (C) is up to 250 mJ/cm2. At the end of step (F), the sacrificial film has a sidewall angle of 80°-90° relative to the substrate.
    Type: Application
    Filed: January 14, 2014
    Publication date: August 7, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Yoshinori Hirano, Masashi Iio, Hideyoshi Yanagisawa
  • Publication number: 20140220495
    Abstract: A process and composition for negative tone development comprises providing a photoresist film that generates acidic sites. Irradiating the photoresist film patternwise provides an irradiated film having exposed and unexposed regions where the exposed regions comprise imaged sites. Baking the irradiated film at elevated temperatures produces a baked-irradiated film comprising the imaged sites which after irradiating, baking, or both irradiating and baking comprise acidic imaged sites. Treating the baked-irradiated film with a liquid, gaseous or vaporous weakly basic compound converts the acidic imaged sites to a base treated film having chemically modified acidic imaged sites. Applying a solvent developer substantially dissolves regions of the film that have not been exposed to the radiant energy, where the solvent developer comprises a substantial non-solvent for the chemically modified acidic imaged sites.
    Type: Application
    Filed: March 14, 2013
    Publication date: August 7, 2014
    Applicant: International Business Machines Corporation
    Inventor: International Business Machines Corporation
  • Publication number: 20140220496
    Abstract: A method for forming a photoresist structure is provided The method includes the step of forming a photoresist layer on a substrate, the step of exposing a portion of the photoresist layer to form an exposed portion of the photoresist layer, and the step of removing the photoresist layer except the exposed portion with a solvent, so as to form the photoresist structure, wherein the photoresist layer has a polymer having a structure represented by formula (I). The method of the present invention can generate a photoresist with an even thickness on devices with complex geometries or three-dimensional substrates. Thus, it can be applied to tissue engineering scaffolds, three-dimensional cell cultivation system and novel bio-microelectromechnical elements.
    Type: Application
    Filed: September 10, 2013
    Publication date: August 7, 2014
    Applicant: NATIONAL TAIWAN UNIVERSITY
    Inventors: Hsien-Yeh Chen, Mu-Gi Wu, Chieh-Chen Hsieh, Hung-Lun Hsu, Kai-Wen Hsiao
  • Publication number: 20140220492
    Abstract: A resist composition which generates acid upon exposure and exhibits changed solubility in a developing solution under action of acid, including a base component containing a polymeric compound having a structural unit derived from a compound represented by general formula (a0-1). R1 and R2 each independently represents a group represented by general formula (a0-2) or a functional group; V1 and V2 each represents a single bond or an alkylene group of C1 to C10 which may have a substituent; Y1 represents a single bond or a divalent linking group; Y2 represents a fluorinated alkylene group of C1 to C4 which may have a substituent; L1 represents O or a group represented by —NR?1—(R?1 represents H or an alkyl group of C1 to C5); Mm+ represents an organic cation having a valency of m; and m represents an integer of 1 or more.
    Type: Application
    Filed: February 3, 2014
    Publication date: August 7, 2014
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Takaaki Kaiho, Yoshitaka Komuro
  • Publication number: 20140212808
    Abstract: A resist composition comprising a polymer comprising recurring units having an acid labile group of cyclopentyl with tert-butyl or tert-amyl pendant is coated onto a substrate, baked, exposed to high-energy radiation, PEB and developed in an organic solvent to form a negative pattern. A fine hole pattern can be formed from the resist composition with advantages including high dissolution contrast, good size control and wide depth of focus.
    Type: Application
    Filed: December 31, 2013
    Publication date: July 31, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Kenji Funatsu, Kentaro Kumaki, Akihiro Seki, Tomohiro Kobayashi, Koji Hasegawa
  • Publication number: 20140212814
    Abstract: Provided is an actinic-ray- or radiation-sensitive resin composition, including any of compounds of general formula (1) below that when exposed to actinic rays or radiation, is decomposed to thereby generate an acid and a resin that when acted on by an acid, is decomposed to thereby increase its solubility in an alkali developer.
    Type: Application
    Filed: March 28, 2014
    Publication date: July 31, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Junichi ITO, Akinori SHIBUYA, Tomoki MATSUDA, Yoko TOKUGAWA, Toshiaki FUKUHARA, Naohiro TANGO, Kaoru IWATO, Masahiro YOSHIDOME, Shinichi SUGIYAMA, Shohei KATAOKA
  • Publication number: 20140212796
    Abstract: There is provided a pattern forming method comprising (1) a step of forming a film by using an electron beam-sensitive or extreme ultraviolet-sensitive resin composition, (2) a step of exposing the film by using an electron beam or an extreme ultraviolet ray, and (3) a step of developing the exposed film by using an organic solvent-containing developer, wherein the electron beam-sensitive or extreme ultraviolet-sensitive resin composition contains (A) a resin containing (R) a repeating unit having a structural moiety capable of decomposing upon irradiation with an electron beam or an extreme ultraviolet ray to generate an acid, and (B) a solvent.
    Type: Application
    Filed: March 27, 2014
    Publication date: July 31, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Hiroo TAKIZAWA, Kaoru IWATO, Hideaki TSUBAKI
  • Publication number: 20140212819
    Abstract: A lithographic process includes the use of a silicon-containing polymer or a compound that includes at least one element selected from the group consisting of: Ta, W, Re, Os, Ir, Ni, Cu or Zn in a resist material for an EUV lithographic process. The wavelength of the EUV light used in the process is less than 11 nm, for example 6.5-6.9 nm. The invention further relates to novel silicon-containing polymers.
    Type: Application
    Filed: May 30, 2012
    Publication date: July 31, 2014
    Applicant: ASML Netherlands B.V.
    Inventors: Sander Frederik Wuister, Vladimir Mihailovitch Krivtsun, Andrei Mikhailovich Yakunin
  • Publication number: 20140212810
    Abstract: A polymer comprising recurring units (a) of styrene having an HFA group and an ester group adjacent thereto and recurring units (b) having a hydroxyl group is used as base resin to formulate a negative resist composition. The negative resist composition has a high dissolution contrast in alkaline developer, high sensitivity, high resolution, good pattern profile after exposure, and a suppressed acid diffusion rate.
    Type: Application
    Filed: December 23, 2013
    Publication date: July 31, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Koji Hasegawa, Daisuke Domon
  • Publication number: 20140212818
    Abstract: There is provided a method for forming a graphene pattern, in which a graphene thin film layer is transferred onto a surface of a photosensitive film, and then patterned through exposure/development of the photosensitive film. The photosensitive film is cured after being finally developed, thereby securing stability and reliability.
    Type: Application
    Filed: June 6, 2013
    Publication date: July 31, 2014
    Inventors: Sung-Ku Kang, Byeong-Kyu Jeon, Jung-Yun Kim
  • Publication number: 20140212811
    Abstract: A pattern-forming method includes in this order: step (1) of forming a film with an electron beam-sensitive or extreme ultraviolet radiation-sensitive resin composition that contains (A) a resin having an acid-decomposable repeating unit and capable of decreasing a solubility of the resin (A) in a developer containing an organic solvent by an action of an acid, (B) a compound capable of generating an acid upon irradiation with an electron beam or extreme ultraviolet radiation, (C) a resin having one or more groups selected from the specific group as defined in the specification and (D) a solvent; step (2) of exposing the film with an electron beam or extreme ultraviolet radiation; and step (4) of developing the film with a developer containing an organic solvent after the exposing to form a negative pattern.
    Type: Application
    Filed: March 28, 2014
    Publication date: July 31, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Naoki INOUE, Hiroo TAKIZAWA, Shuji HIRANO, Hideaki TSUBAKI
  • Publication number: 20140212813
    Abstract: A radiation-sensitive resin composition includes a first polymer including an acid-labile group, an acid generator to generate an acid upon exposure to radiation, and a second polymer including a fluorine atom and a functional group shown by a general formula (x). The second polymer has a fluorine atom content higher than a fluorine atom content of the first polymer. R1 represents an alkali-labile group. A represents an oxygen atom, —NR?—, —CO—O—# or —SO2—O—##, wherein the oxygen atom represented by A is not an oxygen atom bonded directly to an aromatic ring, a carbonyl group, or a sulfoxyl group, R? represents a hydrogen atom or an alkali-labile group, and “#” and “##” each indicate a bonding hand bonded to R1.
    Type: Application
    Filed: April 2, 2014
    Publication date: July 31, 2014
    Applicant: JSR CORPORATION
    Inventors: Yuusuke ASANO, Mitsuo SATOU, Hiromitsu NAKASHIMA, Kazuki KASAHARA, Yoshifumi OIZUMI, Masafumi HORI, Takanori KAWAKAMI, Yasuhiko MATSUDA, Kazuo NAKAHARA
  • Publication number: 20140212812
    Abstract: The present application relates to a fluorine-based resin having a novel structure and a photosensitive resin composition including the same. The photosensitive resin composition including the fluorine-based resin according to an exemplary embodiment of the present application has excellent photosensitivity and developability and can increase a contact angle of a coating film to prevent a water stain. Accordingly, the photosensitive resin composition including the fluorine-based resin according to the exemplary embodiment of the present application may be applied to various photosensitive materials, and particularly, may be preferably applied when a color filter pattern for LCD is manufactured.
    Type: Application
    Filed: May 31, 2012
    Publication date: July 31, 2014
    Applicant: LG CHEM, LTD.
    Inventors: Minjung Kim, Han Soo Kim, Kyung Soo Choi
  • Publication number: 20140210034
    Abstract: A curable liquid formulation comprising: (i) one or more near-infrared absorbing polymethine dyes; (ii) one or more crosslinkable polymers; and (iii) one or more casting solvents. The invention is also directed to solid near-infrared absorbing films composed of crosslinked forms of the curable liquid formulation. The invention is also directed to a microelectronic substrate containing a coating of the solid near-infrared absorbing film as well as a method for patterning a photoresist layer coated on a microelectronic substrate in the case where the near-infrared absorbing film is between the microelectronic substrate and a photoresist film.
    Type: Application
    Filed: April 2, 2014
    Publication date: July 31, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Wu-Song Huang, Martin Glodde, Dario L. Goldfarb, Wai-Kin Li, Sen Liu, Libor Vyklicky
  • Publication number: 20140212809
    Abstract: A photosensitive resin composition includes an acryl-based copolymer formed by copolymerizing unsaturated carboxylic acid, unsaturated carboxylic acid anhydride, or a mixture thereof and an olefin-based unsaturated compound or a mixture of olefin-based unsaturated compounds, a photoinitiator represented by the following Chemical Formula 1 or 2, a multifunctional acrylate oligomer, a multifunctional monomer having an ethylenically unsaturated bond, and a melamine crosslinking agent.
    Type: Application
    Filed: December 24, 2013
    Publication date: July 31, 2014
    Applicant: Samsung Display Co., Ltd.
    Inventors: Jeong Min PARK, Ji-Hyun KIM, Jung-Soo LEE, Hyoc-Min YOUN
  • Publication number: 20140212797
    Abstract: An actinic ray-sensitive or radiation-sensitive resin composition includes: (P) a resin that contains (A) a repeating unit capable of decomposing upon irradiation with an actinic ray or radiation to generate an acid in a side chain of the resin (P) and (C) a repeating unit represented by the following formula (I) as defined in the specification, wherein a polydispersity of the resin (P) is 1.20 or less.
    Type: Application
    Filed: March 28, 2014
    Publication date: July 31, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Takeshi KAWABATA, Hideaki TSUBAKI
  • Patent number: 8790860
    Abstract: An actinic ray-sensitive or radiation-sensitive resin composition capable of forming a hole pattern which has an ultrafine pore diameter (for example, 60 nm or less) and has an excellent cross-sectional shape with excellent local pattern dimensional uniformity; and a resist film, a pattern forming method, a method for preparing an electronic device, and an electronic device, each using the same, are provided.
    Type: Grant
    Filed: September 11, 2012
    Date of Patent: July 29, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Kosuke Koshijima, Hidenori Takahashi, Shuhei Yamaguchi, Kei Yamamoto
  • Patent number: 8790867
    Abstract: Provided are methods of forming photolithographic patterns by negative tone development. The methods employ a photoresist composition that includes a polymer having a unit of the following general formula (I): wherein: R1 represents hydrogen or a C1 to C3 alkyl group; a represents an integer from 1 to 3; and b represents 0 or 1. The methods find particular applicability in the manufacture of semiconductor devices.
    Type: Grant
    Filed: November 3, 2012
    Date of Patent: July 29, 2014
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Young Cheol Bae, Jibin Sun, Seung-Hyun Lee, Jong Keun Park, Cecily Andes
  • Patent number: 8790866
    Abstract: A negative pattern is formed by coating a resist composition comprising a methylol-substituted urea, amide or urethane compound, a polymer comprising recurring units having an acid labile group-substituted hydroxyl group, and an acid generator onto a substrate, prebaking, exposing to high-energy radiation, and developing in an organic solvent developer such that the unexposed region of resist film is dissolved away and the exposed region of resist film is not dissolved. In image formation via positive/negative reversal by organic solvent development, the resist film is characterized by a high dissolution contrast between the unexposed and exposed regions.
    Type: Grant
    Filed: August 15, 2012
    Date of Patent: July 29, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama, Tomohiro Kobayashi
  • Publication number: 20140205956
    Abstract: A method for forming a negative type resist pattern having a high residual film rate of exposed areas of a resist film by heating an exposed resist film and subjecting it to patterning by negative type development with a developing solution containing an organic solvent, in which a resist composition containing a high-molecular weight compound having a constituent unit represented by a particular general formula.
    Type: Application
    Filed: January 14, 2014
    Publication date: July 24, 2014
    Applicant: Tokyo Ohka Kogyo Co., Ltd
    Inventors: Yuta Iwasawa, Kotaro Endo, Junichi Tsuchiya
  • Patent number: 8785106
    Abstract: A resist composition including: a base component (A) that exhibits changed solubility in a developing solution by the action of acid; a photoreactive quencher (C); and an acid generator component (B) that generates acid upon exposure, wherein the photoreactive quencher (C) contains a compound (C) represented by general formula (c1) shown below. In the formula, X represents a cyclic group of 3 to 30 carbon atoms which may have a substituent; R1 represents a divalent linking group; R2 represents an arylene group which may have a substituent, and each of R3 and R4 independently represents an aryl group which may have a substituent; R3 and R4 may be mutually bonded with the sulfur atom to form a ring; R5 represents a hydroxy group, a halogen atom, an alkyl group of 1 to 5 carbon atoms, an alkoxy group or a fluorinated alkyl group; p represents an integer of 0 to 2; and q represents an integer of 0 to 3.
    Type: Grant
    Filed: February 21, 2013
    Date of Patent: July 22, 2014
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yoshitaka Komuro, Toshiaki Hato
  • Patent number: 8785114
    Abstract: A micro-structure is manufactured by patterning a sacrificial film, forming an inorganic material film on the pattern, providing the inorganic material film with an aperture, and etching away the sacrificial film pattern through the aperture to define a space having the contour of the pattern. The patterning stage includes the steps of (A) forming a sacrificial film using a composition comprising a cresol novolac resin and a crosslinker, (B) exposing patternwise the film to first high-energy radiation, (C) developing, and (D) exposing the sacrificial film pattern to second high-energy radiation and heat treating for thereby forming crosslinks within the cresol novolac resin.
    Type: Grant
    Filed: June 10, 2011
    Date of Patent: July 22, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Hideto Kato, Hiroshi Kanbara, Tomoyoshi Furihata, Yoshinori Hirano
  • Publication number: 20140199636
    Abstract: Sub-diffraction-limited patterning using a photoswitchable recording material is disclosed. A substrate can be provided with a photoresist in a first transition state. The photoresist can be configured for spectrally selective reversible transitions between at least two transition states based on a first wavelength band of illumination and a second wavelength band of illumination. An optical device can selectively expose the photoresist to a standing wave with a second wavelength in the second wavelength band to convert a section of the photoresist into a second transition state. The optical device or a substrate carrier securing the substrate can modify the standing wave relative to the substrate to further expose additional regions of the photoresist into the second transition state in a specified pattern.
    Type: Application
    Filed: January 10, 2014
    Publication date: July 17, 2014
    Inventor: Rajesh Menon
  • Publication number: 20140199617
    Abstract: A pattern-forming method includes in this order: step (1) of forming a film with an electron beam-sensitive or extreme ultraviolet radiation-sensitive resin composition that contains (A) a resin having an acid-decomposable repeating unit and capable of decreasing a solubility of the resin (A) in a developer containing an organic solvent by an action of an acid and (B) a low molecular weight compound capable of generating an acid upon irradiation with an electron beam or extreme ultraviolet radiation and decomposing by an action of an acid to decrease a solubility of the low molecular weight compound (B) in an organic solvent; step (2) of exposing the film with an electron beam or extreme ultraviolet radiation; and step (4) of developing the film with a developer containing an organic solvent after the exposing to form a negative pattern.
    Type: Application
    Filed: March 27, 2014
    Publication date: July 17, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Hideaki TSUBAKI, Hiroo TAKIZAWA, Takeshi KAWABATA
  • Publication number: 20140199635
    Abstract: A processing apparatus for processing a substrate chucked by a chuck installed on a stage includes: a conveying unit configured to convey the substrate to the chuck; a robot configured to selectively convey, to the stage, a pressing member capable of pressing the substrate to reduce a warp of the substrate chucked by the chuck and a cleaning member capable of cleaning a chuck surface; and a controller configured to cause the robot holding the pressing member to execute pressing processing for correcting the warp of the substrate and cause the robot holding the cleaning member to execute cleaning processing of the chuck surface.
    Type: Application
    Filed: January 7, 2014
    Publication date: July 17, 2014
    Applicant: Canon Kabushiki Kaisha
    Inventors: Shinichi HIRANO, Kohei YAMADA
  • Publication number: 20140199632
    Abstract: A negative pattern is formed by applying a resist composition onto a substrate, prebaking, exposing to high-energy radiation, PEB, and developing the exposed resist film in an organic solvent developer to dissolve the unexposed region of resist film. The resist composition comprising a polymer adapted to form a lactone ring under the action of an acid so that the polymer may reduce its solubility in an organic solvent displays a high dissolution contrast. A fine hole or trench pattern can be formed therefrom.
    Type: Application
    Filed: January 2, 2014
    Publication date: July 17, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Koji Hasegawa, Masayoshi Sagehashi, Kazuhiro Katayama, Tomohiro Kobayashi
  • Publication number: 20140199629
    Abstract: The present invention provides a sulfonium salt used in a resist composition that can give a pattern having a high resolution, especially an excellent rectangularity of a pattern form and a small roughness, while not readily generating a defect, in the photolithography using a high energy beam as a light source; a resist composition that contains the sulfonium salt; and a patterning process using this resist composition, wherein the sulfonium salt is shown by the following general formula (1a), wherein each of R and R0 independently represents a hydrogen atom, or a linear, branched, or cyclic monovalent hydrocarbon group having 1 to 30 carbon atoms which may be optionally substituted by a heteroatom or interposed by a heteroatom.
    Type: Application
    Filed: December 6, 2013
    Publication date: July 17, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Masaki OHASHI, Tomohiro KOBAYASHI, Akihiro SEKI, Masayoshi SAGEHASHI, Masahiro FUKUSHIMA
  • Publication number: 20140199518
    Abstract: Disclosed herein are articles and methods useful for the lithographic applications. The articles comprise a wrinkling structure and a photosensitive material. The articles and methods provide low cost alternatives to conventional lithographic applications. This abstract is intended as a scanning tool for purposes of searching in the particular art and is not intended to be limiting of the present invention.
    Type: Application
    Filed: November 15, 2013
    Publication date: July 17, 2014
    Inventors: Hongbin Yu, Hanqing Jiang, Kevin Chen, Ebraheem Ali Azhar, Teng Ma
  • Publication number: 20140199631
    Abstract: A polymer for resist use is obtainable from a monomer having formula (1) wherein R1 is H, CH3 or CF3 and R2 is H or an acid labile group. A resist composition comprising the polymer displays a high sensitivity and a high dissolution contrast during both alkaline development and organic solvent development.
    Type: Application
    Filed: December 17, 2013
    Publication date: July 17, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Masayoshi Sagehashi, Koji Hasegawa, Kazuhiro Katayama
  • Publication number: 20140199615
    Abstract: A two-dimensional dense array of contact holes can be printed on a negative photoresist employing a combination of a quadrupole illumination lens and a lithographic mask including a criss-cross pattern of opaque lines. The openings in the quadrupole illumination lens are aligned along the perpendicular directions of the opaque lines. Discrete contact holes can be printed on a negative photoresist employing a combination of a quadrupole illumination lens and a lithographic mask including a criss-cross pattern of opaque subresolution assist features and discrete opaque cross patterns. Alternately, a two-dimensional array of contact holes can be printed on a negative photoresist employing a quadrupole illumination lens and a checkerboard pattern of openings. The openings in the quadrupole illumination lens are in diagonal directions.
    Type: Application
    Filed: January 15, 2013
    Publication date: July 17, 2014
    Applicant: International Business Machines Corporation
    Inventors: Martin Burkhardt, Yongan Xu
  • Publication number: 20140199630
    Abstract: A sulfonium salt used in a resist composition which gives a pattern having a high resolution, and small roughness in the photolithography using a high energy beam as a light source, and further difficultly eluted in water in the immersion lithography, and a resist composition containing the sulfonium salt, and a patterning process using the resist composition, wherein the sulfonium salt is represented by the following general formula (1a), wherein R represents a linear, branched, or cyclic monovalent hydrocarbon group having 1 to 30 carbon atoms at least one or more of the hydrogen atoms of which are substituted by a fluorine atom, R0 represents a hydrogen atom, or a linear, branched, or cyclic monovalent hydrocarbon group having 1 to 30 carbon atoms which may be substituted by a halogen atom, or interposed by a heteroatom.
    Type: Application
    Filed: December 11, 2013
    Publication date: July 17, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Masaki OHASHI, Tomohiro KOBAYASHI, Akihiro SEKI, Masayoshi SAGEHASHI, Masahiro FUKUSHIMA
  • Patent number: 8778593
    Abstract: A chemical amplification resist composition contains: (A) a polymer compound having a phenolic hydroxyl group and a group formed by substituting a substituent for a hydrogen atom of a hydroxyl group in a phenolic hydroxyl group and satisfying the following (a) to (c) at the same time: (a) the polydispersity is 1.2 or less, (b) the weight average molecular weight is from 2,000 to 6,500, and (c) the glass transition temperature (Tg) is 140° C. or more.
    Type: Grant
    Filed: June 29, 2012
    Date of Patent: July 15, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Tomotaka Tsuchimura, Tadateru Yatsuo
  • Patent number: 8778602
    Abstract: A method of lithography patterning includes coating a resist layer on a substrate; performing an exposing process to the resist layer using a lithography tool with a numerical aperture tuned between about 0.5 and about 0.6; baking the resist layer; thereafter performing a first developing process to the resist layer for a first period of time; and performing a second developing process to the resist layer for a second period of time.
    Type: Grant
    Filed: September 18, 2009
    Date of Patent: July 15, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hung-Ting Pan, Jing-Huan Chen, Wei-Chung Ma, Hsin-Chun Chiang, Po-Chung Cheng, Szu-An Wu
  • Publication number: 20140193753
    Abstract: The present invention provides a composition for forming a bottom anti-reflective coating, and also provides a photoresist pattern formation method employing that composition. The composition gives a bottom anti-reflective coating used in a lithographic process for manufacturing semiconductor devices, and the coating can be developed with a developing solution for photoresist. The composition contains a solvent, a polymer having a condensed polycyclic aromatic group, and a compound having a maleimide derivative or a maleic anhydride derivative. The composition may further contain a photo acid generator or a crosslinking agent.
    Type: Application
    Filed: February 19, 2014
    Publication date: July 10, 2014
    Applicant: AZ ELECTRONIC MATERIALS USA CORP.
    Inventors: Shigemasa NAKASUGI, Kazuma YAMAMOTO, Shinji MIYAZAKI, Munirathna PADMANABAN, Srinivasan CHAKRAPANI
  • Patent number: 8771921
    Abstract: A negative resist composition including an alkali-soluble resin component (A), an acid generator component (B) that generates acid upon exposure, and a cross-linking component (C), the alkali-soluble resin component (A) including a polymeric compound (F) having a structural unit (f1) containing a base dissociable group and a structural unit (f2) containing a cross-linking group-containing group.
    Type: Grant
    Filed: March 2, 2012
    Date of Patent: July 8, 2014
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Abe Sho, Shiono Daiju, Hirano Tomoyuki, Dazai Takahiro