Post Image Treatment To Produce Elevated Pattern Patents (Class 430/325)
  • Patent number: 8841239
    Abstract: Nanoscale patterns prepared by lithography are used to direct the self-assembly of amphiphilic molecules to form patterned nanosubstrates having a desired distribution of chemical functional moieties. These patterns can be fabricated over a large area and require no special limitations on the chemistry the assembled amphiphiles. Hydrophilic/hydrophobic patterns can be created and used to direct the deposition of a single functional component to specific regions of the surface or to selectively assemble polymer blends to desired sites in a one step fashion with high specificity and selectivity. The selective deposition of functional moieties on a patterned surface can be based on electrostatic forces, hydrogen bonding, or hydrophobic interactions.
    Type: Grant
    Filed: November 21, 2008
    Date of Patent: September 23, 2014
    Assignees: Northeastern University, University of Massachusetts
    Inventors: Ahmed A. Busnaina, Joey L. Mead, Carol M. F. Barry, Ming Wei
  • Patent number: 8841059
    Abstract: A negative resist composition, which shows excellent sensitivity and resolution in pattern formation by exposure to electron beams or EUV, a novel crosslinking agent suitable for the resist composition, and a pattern forming method using the resist composition are presented. The negative resist composition comprises: (A) a polyphenol compound comprising two or more phenolic hydroxyl groups in a molecule thereof and having a molecular weight of 300 to 3,000, (B) an acid generator which directly or indirectly produces acid by exposure to active energy rays having a wavelength of 248 nm or less, and (C) a crosslinking agent represented by the chemical formula (1).
    Type: Grant
    Filed: September 29, 2009
    Date of Patent: September 23, 2014
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Kenichi Okuyama, Yasunori Nagatsuka
  • Patent number: 8841066
    Abstract: Photoresist stripping solutions are disclosed. An exemplary solution includes an organic solvent and an organic base, wherein the organic base is represented by the formula: wherein R1—Z1, R2—Z2, R3—Z3, and R4—Z4 are steric hindered functional groups, and further wherein R1, R2, R3, and R4 are each an alkyl group and Z1, Z2, Z3, and Z4 are each a pendant group selected from the group consisting of —Cl, —Br, —I, —NO2, —SO3—, —H—, —CN, —NCO, —OCN, —CO2—, —OC(O)CR*, —SR*, —SO2N(R*)2, —SO2R*, —OC(O)R*, —C(O)R*, —Si(R*)3, and an epoxyl group.
    Type: Grant
    Filed: July 15, 2013
    Date of Patent: September 23, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Wei Wang, Ching-Yu Chang
  • Publication number: 20140263172
    Abstract: In some embodiments, a method of forming an etch mask on a substrate is provided that includes (1) forming a resist layer on a substrate; (2) exposing one or more regions of the resist layer to an energy source so as to alter at least one of a physical property and a chemical property of the exposed regions; (3) performing a hardening process on the resist layer to increase the etch resistance of first regions of the resist layer relative to second regions of the resist layer, the hardening process including exposing the resist layer to one or more reactive species within an atomic layer deposition (ALD) chamber; and (4) dry etching the resist layer to remove the one or more second regions and to form a pattern in the resist layer. Other embodiments are provided.
    Type: Application
    Filed: March 11, 2014
    Publication date: September 18, 2014
    Inventors: Peng Xie, Christopher Dennis Bencher, Huixiong Dai, Timothy Michaelson, Subhash Deshmukh
  • Publication number: 20140272706
    Abstract: A resist composition includes: a solvent; and a resin in the solvent, the resin being prepared by the hydrolysis and condensation of an alkoxy group-containing compound that contains an alkoxy group bound to a silicon atom or a germanium atom in the presence of an acid or an alkali, wherein a portion of the resist composition irradiated with an energy radiation is insoluble in a developing solution.
    Type: Application
    Filed: November 13, 2013
    Publication date: September 18, 2014
    Applicant: FUJITSU LIMITED
    Inventors: Junichi Kon, Yoshihiro NAKATA
  • Publication number: 20140272682
    Abstract: The present disclosure is directed towards an extreme ultraviolet (EUV) mask. The EUV mask includes a low thermal expansion material (LTEM) substrate. The EUV mask has a first region and a second region. The EUV mask also includes a structure disposed in the first region. The structure has a multiple facets with an angle to each other. The EUV mask also includes a conformal reflective multilayer (ML) disposed over the structure in the first region and over the LTEM substrate in the second region. The conformal reflective ML has a similar surface profile as the structure in the first region and a flat surface profile in the second region.
    Type: Application
    Filed: August 9, 2013
    Publication date: September 18, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Tsung Shih, Shinn-Sheng Yu, Jeng-Horng Chen, Anthony Yen
  • Publication number: 20140272726
    Abstract: A method includes coating a photo resist on a wafer in a first production tool, and performing a pre-exposure baking on the photo resist in a second production tool separate from the first production tool. After the pre-exposure baking, the photo resist is exposed using a lithography mask. After the step of exposing the photo resist, a post-exposure baking is performed on the photo resist. The photo resist is then developed.
    Type: Application
    Filed: February 25, 2014
    Publication date: September 18, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Ching-Yu Chang
  • Publication number: 20140272725
    Abstract: Provided is a method of water repellent treatment for a pattern surface, the method including the steps of agitatingly mixing a perfluoropolyether-group-containing silane water repellent, an organic acid, a fluorine-containing solvent capable of dissolving the perfluoropolyether-group-containing silane water repellent and the organic acid, and water to hydrolyze the perfluoropolyether-group-containing silane water repellent, thereby obtaining a partial hydrolysate solution; forming a photosensitive resin layer on a substrate; applying the partial hydrolysate solution onto the photosensitive resin layer to form a water-repellent film; performing patterning exposure to the photosensitive resin layer and the water-repellent film; performing heat treatment to collectively cure an exposed portion of the photosensitive resin layer and the water-repellent film; and removing a non-exposed portion of the photosensitive resin layer and the water-repellent film by development treatment to form a pattern.
    Type: Application
    Filed: February 25, 2014
    Publication date: September 18, 2014
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Yohei Hamade, Etsuko Sawada, Ken Ikegame, Hiroaki Mihara, Satoshi Tsutsui
  • Publication number: 20140272723
    Abstract: A method of forming a layered substrate comprising a self-assembled material is provided. The method includes forming a first layer of material on a substrate, forming a layer of a radiation sensitive material on the first layer of material, imaging the layer of the radiation sensitive material with patterned light, heating the layer of the radiation sensitive material to a temperature at or above the cross-linking reaction temperature, developing the imaged layer, and forming the block copolymer pattern. The radiation sensitive material comprises at least one photo-sensitive component selected from (a) a photo-decomposable cross-linking agent, (b) a photo-base generator, or (c) a photo-decomposable base; and a cross-linkable polymer, wherein imaging by the patterned light provides a pattern defined by a first region having substantial portions of a decomposed photo-sensitive component surrounded by regions having substantial portions of intact photo-sensitive component.
    Type: Application
    Filed: March 14, 2013
    Publication date: September 18, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Mark H. Somervell, Michael A. Carcasi
  • Publication number: 20140272724
    Abstract: A system and method for photoresists is provided. In an embodiment a photoresist is developed. Once developed, the photoresist is slimmed using either a direct slimming technique or an indirect slimming technique. In a direct slimming technique the slimming agent is either an alkaline solution or a polar solvent. In the indirect slimming technique a hydrophobic material is diffused into the photoresist to form a modified region and the modified region is then removed.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Inventors: Wen-Yun Wang, Cheng-Han Wu
  • Publication number: 20140272688
    Abstract: A method for forming a three-dimensional microstructure includes providing a photosensitive glass substrate; exposing the photosensitive glass substrate to energy through a continuous tone, variable transmission photomask so as to form opaque portions in the photosensitive glass substrate, each of the opaque portions having one of a variety of depths extending through the entire thickness of the photosensitive glass substrate; and removing the opaque portions so as to form three-dimensional features in the photosensitive glass substrate.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Applicant: PHOTRONICS, INC.
    Inventor: PHOTRONICS, INC.
  • Publication number: 20140272727
    Abstract: A method of producing a copolymer, including copolymerizing a monomer (am0) containing a partial structure represented by formula (am0-1) shown below, a monomer (am1) containing an acid decomposable group which exhibits increased polarity by the action of acid and a monomer (am5) containing an —SO2— containing cyclic group in the presence of a nitrogen-containing compound (X) having a conjugated acid with an acid dissociation constant of less than 10 (in the formula, *0 to *4 each represents a valence bond).
    Type: Application
    Filed: March 12, 2014
    Publication date: September 18, 2014
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Tomoyuki Hirano, Yoshiyuki Utsumi, Junichi Tsuchiya, Yoichi Hori
  • Publication number: 20140272692
    Abstract: There is provided an actinic ray-sensitive or radiation-sensitive composition comprising (P) a compound having a phenolic hydroxyl group and a group formed by substituting for the hydrogen atom in a phenolic hydroxyl group by a group represented by the specific formula, a resist film formed using the specific actinic ray-sensitive or radiation-sensitive composition, a pattern forming method containing steps of exposing and developing the resist film, a manufacturing method of an electronic device, containing the pattern forming method, and an electronic device manufactured by the specific manufacturing method of an electronic device.
    Type: Application
    Filed: May 29, 2014
    Publication date: September 18, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Natsumi YOKOKAWA, Takeshi KAWABATA, Hiroo TAKIZAWA, Hideaki TSUBAKI, Shuji HIRANO
  • Patent number: 8835102
    Abstract: The invention provides a patterning process for forming a negative pattern by lithography, comprising at least the steps of: using a composition for forming silicon-containing film, containing specific silicon-containing compound (A) and an organic solvent (B), to form a silicon-containing film; using a silicon-free resist composition to form a photoresist film on the silicon-containing film; heat-treating the photoresist film, and subsequently exposing the photoresist film to a high energy beam; and using a developer comprising an organic solvent to dissolve an unexposed area of the photoresist film, thereby obtaining a negative pattern. There can be a patterning process, which is optimum as a patterning process of a negative resist to be formed by adopting organic solvent-based development, and a composition for forming silicon-containing film to be used in the process.
    Type: Grant
    Filed: March 9, 2012
    Date of Patent: September 16, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Takafumi Ueda, Toshiharu Yano
  • Patent number: 8835103
    Abstract: A photo resist layer includes a first region and a second region. A treatment layer is applied to the photo resist layer.
    Type: Grant
    Filed: July 13, 2012
    Date of Patent: September 16, 2014
    Assignee: Macronix International Co., Ltd.
    Inventor: Chin Cheng Yang
  • Publication number: 20140255843
    Abstract: A negative pattern is formed by coating a resist composition comprising (A) a polymer having an acid labile group, adapted to change its polarity under the action of acid, (B) a photoacid generator, and (C) an organic solvent onto a substrate, baking, exposing the resist film to high-energy radiation, PEB, and developing in an organic solvent-based developer to selectively dissolve the unexposed region of resist film. The photoacid generator has the formula: R1—COOC(CF3)2—CH2SO3?R2R3R4S+ wherein R1 is a monovalent hydrocarbon group, R2, R3 and R4 are an alkyl, alkenyl, oxoalkyl, aryl, aralkyl or aryloxoalkyl group, or may bond together to form a ring with the sulfur atom.
    Type: Application
    Filed: February 18, 2014
    Publication date: September 11, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Tomohiro Kobayashi, Kazuhiro Katayama, Kentaro Kumaki, Chuanwen Lin, Masaki Ohashi, Masahiro Fukushima
  • Publication number: 20140252674
    Abstract: A three-dimensional lattice architecture with a thickness hierarchy includes a first surface and a second surface separated from each other with a distance therebetween defining a thickness of the three-dimensional lattice architecture; a plurality of angled struts extending along a plurality of directions between the first surface and the second surface; a plurality of nodes connecting the plurality of angled struts with one another forming a plurality of unit cells. At least a portion of the plurality of angled struts are internally terminated along the thickness direction of the lattice structure and providing a plurality of internal degrees of freedom towards the first or second surface of the lattice architecture.
    Type: Application
    Filed: June 25, 2013
    Publication date: September 11, 2014
    Inventors: Jacob M. Hundley, Tobias A. Schaedler, Sophia S. Yang, Alan J. Jacobsen
  • Publication number: 20140255849
    Abstract: The present disclosure relates to novel methanofullerene derivatives, negative-type photoresist compositions prepared therefrom and methods of using them. The derivatives, their photoresist compositions and the methods are ideal for fine pattern processing using, for example, ultraviolet radiation, beyond extreme ultraviolet radiation, extreme ultraviolet radiation, X-rays and charged particle rays. Negative photosensitive compositions are also disclosed.
    Type: Application
    Filed: February 24, 2014
    Publication date: September 11, 2014
    Inventors: Alex Philip Graham Robinson, Jon Andrew Preece, Richard Edward Palmer, Andreas Frommhold, Dongxu Yang, Alexandra McClelland, Drew Athans, Xiang Xue
  • Publication number: 20140255853
    Abstract: A resist composition which generates acid upon exposure and exhibits changed solubility in a developing solution under action of acid, including a base component (A) which exhibits changed solubility in a developing solution under action of acid, the base component (A) including a polymeric compound (A1) containing a structural unit (a0) represented by general formula (a0-1) shown below. In the formula, W1 represents a group which is formed by polymerization reaction of a group containing a polymerizable group; Y1 and Y2 each independently represents a divalent linking group; Y3 represents a carbonyl group or an alkylene group; R2 and R3 each independently represents a fluorine atom or a fluorinated alkyl group; Mm+ represents an organic cation having a valency of m; and m represents an integer of 1 or more.
    Type: Application
    Filed: March 4, 2014
    Publication date: September 11, 2014
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Daichi Takaki, Yoshiyuki Utsumi
  • Publication number: 20140255640
    Abstract: The present invention discloses a sapphire substrate structure for pattern etching and method of forming a pattern sapphire substrate (PSS). The sapphire substrate after pattern etching is suitable to be used as the substrate of a light-emitting device. The sapphire substrate structure comprises a photoresist layer, an underlayer, and a sapphire substrate. The photoresist layer is a uniform layer made of G-line photoresist, I-line photoresist, 248 nm DIN photoresist, or 193 nm Arf photoresist and comprises a flat surface. The underlayer is a uniform layer made of an organic or inorganic compound and comprises a flat surface. The sapphire substrate is formed by epitaxy, while the photoresist layer and the underlayer are formed by coating. After the sapphire substrate structure is formed, it is step by step transformed into a pattern sapphire substrate through an exposure/development process, an etching process, and a cleaning process subsequently.
    Type: Application
    Filed: June 4, 2013
    Publication date: September 11, 2014
    Inventor: YONG-FA HUANG
  • Publication number: 20140255852
    Abstract: The present invention includes: a resist film forming step of forming a resist film over a substrate; an exposure step of exposing the resist film into a predetermined pattern; a metal treatment step of causing a treatment agent to enter an exposed portion exposed in the exposure step of the resist film and causing metal to infiltrate the exposed portion via the treatment agent; and a resist film removing step of removing an unexposed portion not exposed in the exposure step of the resist film to form a resist pattern over the substrate.
    Type: Application
    Filed: February 11, 2014
    Publication date: September 11, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Fumiko IWAO, Satoru SHIMURA
  • Publication number: 20140255854
    Abstract: A pattern-forming method includes providing a resist film on a substrate using a photoresist composition. The resist film is exposed. The exposed resist film is developed using a developer having an organic solvent content of 80 mass % or more. The photoresist composition includes a first polymer, a second polymer, and an acid generator. The first polymer is a base polymer and includes a first structural unit that includes an acid-labile group. The second polymer includes a second structural unit that includes an acid-labile group, and has a fluorine atom content higher than a fluorine atom content of the first polymer. The second structural unit is represented by a formula (1) or a formula (2).
    Type: Application
    Filed: May 19, 2014
    Publication date: September 11, 2014
    Applicant: JSR CORPORATION
    Inventors: Hirokazu SAKAKIBARA, Hiromu MIYATA, Taiichi FURUKAWA, Koji ITO
  • Patent number: 8828647
    Abstract: A negative pattern is formed by applying a resist composition onto a substrate, prebaking, exposing to high-energy radiation, baking (PEB), and developing the exposed resist film in an organic solvent developer to dissolve the unexposed region of resist film. The resist composition comprising a polymer comprising recurring units having an acid labile group-substituted carboxyl group and/or hydroxy group and recurring units having an oxirane or oxetane ring, an acid generator, and an organic solvent displays a high dissolution contrast in organic solvent development and controlled acid diffusion. A fine hole pattern featuring good size control can be formed.
    Type: Grant
    Filed: May 29, 2012
    Date of Patent: September 9, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Tomohiro Kobayashi
  • Patent number: 8828493
    Abstract: Methods are disclosed for forming a layered structure comprising a self-assembled material. An initial patterned photoresist layer is treated photochemically, thermally, and/or chemically to form a treated patterned photoresist layer comprising a non-crosslinked treated photoresist. The treated photoresist is insoluble in an organic solvent suitable for casting a material capable of self-assembly. A solution comprising the material capable of self-assembly dissolved in the organic solvent is casted on the treated layer, and the organic solvent is removed. The casted material is allowed to self-assemble with optional heating and/or annealing, thereby forming the layered structure comprising the self-assembled material. The treated photoresist can be removed using an aqueous base and/or a second organic solvent.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: September 9, 2014
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, William D. Hinsberg, Charles Thomas Rettner, Daniel Paul Sanders
  • Publication number: 20140248563
    Abstract: A composition includes a polymer component including a first polymer having a first structural unit represented by a following formula (1), and a solvent. In the formula (1), R1 represents a hydrogen atom or a monovalent organic group having 1 to 20 carbon atoms. R2 represents a single bond or a divalent organic group having 1 to 20 carbon atoms. R3 represents a hydrogen atom or a monovalent organic group having 1 to 20 carbon atoms. RQ represents a perfluoroalkyl group having 1 to 5 carbon atoms. RX represents a hydrogen atom or a monovalent base-labile group.
    Type: Application
    Filed: May 9, 2014
    Publication date: September 4, 2014
    Applicant: JSR CORPORATION
    Inventors: Kiyoshi TANAKA, Shinya MINEGISHI, Kazunori KUSABIRAKI, Takahiro HAYAMA
  • Publication number: 20140248562
    Abstract: According to one embodiment, there is provided an actinic ray- or radiation-sensitive resin composition including (A) a compound represented by a general formula (1) below that generates an acid when exposed to actinic rays or radiation, and (B) a resin.
    Type: Application
    Filed: May 7, 2014
    Publication date: September 4, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Akinori SHIBUYA, Yoko TOKUGAWA, Tomoki MATSUDA, Junichi ITO, Shohei KATAOKA, Toshiaki FUKUHARA, Naohiro TANGO, Kaoru IWATO, Masahiro YOSHIDOME, Shinichi SUGIYAMA
  • Publication number: 20140248556
    Abstract: A pattern forming method including: (i) forming a film using an actinic ray-sensitive or radiation-sensitive resin composition containing a resin (A) having a repeating unit having a group generating a polar group upon being decomposed by the action of an acid, and a repeating unit having an aromatic group, a compound (B) generating an acid upon irradiation with actinic rays or radiation, and a solvent (C); (ii) exposing the film; and (iii) developing the exposed film using a developer including an organic solvent to form a negative tone pattern, wherein the resin (A) is a resin having a repeating unit having a naphthyl group, and the like, and/or the actinic ray-sensitive or radiation-sensitive resin composition contains a compound (D) having a naphthalene ring, and the like.
    Type: Application
    Filed: May 16, 2014
    Publication date: September 4, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Keita KATO, Michihiro SHIRAKAWA, Hidenori TAKAHASHI, Sou KAMIMURA
  • Publication number: 20140248561
    Abstract: A resist composition containing a compound represented by the general formula (1) or (2), a method for forming a resist pattern using the composition, a polyphenolic compound for use in the composition, and an alcoholic compound that can be derived therefrom are described.
    Type: Application
    Filed: August 9, 2012
    Publication date: September 4, 2014
    Applicant: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Masatoshi Echigo, Masako Yamakawa
  • Publication number: 20140246400
    Abstract: A resin having a fluorene structure, a relatively high carbon concentration in the resin, a relatively high heat resistance and a relatively high solvent solubility has a structure represented by wherein each of R3 and R4 independently denotes a benzene ring or a naphthalene ring, a carbon atom at the bridgehead of a fluorene backbone or (di)benzofluorene backbone is bonded with a carbon atom of each of other aromatic rings, and a carbon atom of each of aromatic rings of a fluorene backbone or (di)benzofluorene backbone is bonded with a carbon atom at the bridgehead of other fluorene backbone or (di)benzofluorene backbone. The resin can be applied to a wet process. Methods for producing the resin, for forming an underlayer film useful for forming a novel resist, and for pattern forming using the material, and an underlayer film excellent in heat resistance and etching resistance for multilayer resist are described.
    Type: Application
    Filed: September 4, 2012
    Publication date: September 4, 2014
    Applicant: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Go Higashihara, Naoya Uchiyama, Masatoshi Echigo
  • Publication number: 20140247438
    Abstract: Correction of reticle defects, such as EUV reticle defects, is accomplished with a second exposure. Embodiments include obtaining a reticle with a first pattern corresponding to a design for a wafer pattern, detecting dark defects and/or design/OPC weak spots in the first pattern, exposing a resist covered wafer using the reticle, and exposing the wafer using a second reticle with a second pattern or a second image field with openings corresponding to the dark defects, with a repair pattern on the reticle or on another reticle, or with a programmed e-beam or laser writer.
    Type: Application
    Filed: May 12, 2014
    Publication date: September 4, 2014
    Applicant: GLOBALFOUNDRIES INC.
    Inventor: Arthur HOTZEL
  • Publication number: 20140248565
    Abstract: A photopolymer layer is formed on an organic device substrate and exposed to patterned radiation. The photopolymer layer includes a photopolymer comprising at least a first repeating unit having an acid-catalyzed, solubility-altering reactive group, wherein the total fluorine content of the photopolymer is less than 30% by weight. The pattern exposed photopolymer is contacted with a developing agent, such as a developing solution, to remove unexposed photopolymer, thereby forming a developed structure having a first pattern of exposed photopolymer covering the substrate and a complementary second pattern of uncovered substrate corresponding to the unexposed photopolymer. The developing agent comprises at least 50% by volume of a hydrofluoroether developing solvent.
    Type: Application
    Filed: May 12, 2014
    Publication date: September 4, 2014
    Inventors: John Andrew DEFRANCO, Francis HOULIHAN, Charles Warren WRIGHT, Diane Carol FREEMAN, Frank Xavier BYRNE, Douglas Robert ROBELLO, Sandra RUBSAM, Terrence Robert O'TOOLE
  • Publication number: 20140248429
    Abstract: The present disclosure discloses a method of fabrication of free standing open pore membranes with uniform pore size and shape and ordered pore distribution, and its use for synthesis of nanoparticle patterns. The method includes applying a photoresist layer to the top surface of a substrate, heating the photoresist layer for a period of time, and exposing the photoresist layer to a dose of ultraviolet radiation through a mask having a predetermined pattern. The dose of ultraviolet radiation is controlled in intensity and time and the photoresist layer is exposed such that a top portion of the photoresist layer through which the dose of ultraviolet radiation enters the photoresist layer undergoes greater cross linking than a bottom portion of the photoresist layer immediately adjacent to the top surface of the substrate such that a cross linking gradient develops through a thickness of the photoresist layer.
    Type: Application
    Filed: October 3, 2012
    Publication date: September 4, 2014
    Inventors: Jun Yang, Tingjie Li
  • Patent number: 8822136
    Abstract: A negative pattern is formed by coating a resist composition onto a substrate, the resist composition comprising a polymer comprising recurring units having an acid labile group-substituted hydroxyl group, an acid generator, an onium salt of perfluoroalkyl ether carboxylic acid, and an organic solvent, prebaking, exposing, baking, and developing in an organic solvent such that the unexposed region of film is dissolved away and the exposed region of film is not dissolved. In image formation via positive/negative reversal by organic solvent development, the resist film is characterized by a high dissolution contrast between the unexposed and exposed regions.
    Type: Grant
    Filed: October 26, 2012
    Date of Patent: September 2, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama, Youichi Ohsawa
  • Patent number: 8821978
    Abstract: A method of forming a layered structure comprising a domain pattern of a self-assembled material utilizes a negative-tone patterned photoresist layer comprising non-crosslinked developed photoresist. The developed photoresist is not soluble in an organic casting solvent for a material capable of self-assembly. The developed photoresist is soluble in an aqueous alkaline developer and/or a second organic solvent. A solution comprising the material capable of self-assembly and the organic casting solvent is casted on the patterned photoresist layer. Upon removal of the organic casting solvent, the material self-assembles, thereby forming the layered structure.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: September 2, 2014
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, William D. Hinsberg, Ho-Cheol Kim, Young-Hye Na, Daniel Paul Sanders, Linda Karin Sundberg, Hoa D. Truong, Gregory Michael Wallraff, Atsuko Ito
  • Patent number: 8822130
    Abstract: Disclosed herein is a composition comprising a graft block copolymer comprising a first block polymer; the first block polymer comprising a backbone polymer and a first graft polymer; where the first graft polymer comprises a surface energy reducing moiety; and a second block polymer; the second block polymer being covalently bonded to the first block; wherein the second block comprises the backbone polymer and a second graft polymer; where the second graft polymer comprises a functional group that is operative to crosslink the graft block copolymer; a photoacid generator; and a crosslinking agent.
    Type: Grant
    Filed: November 19, 2012
    Date of Patent: September 2, 2014
    Assignees: The Texas A&M University System, Rohm and Haas Electronics Materials LLC
    Inventors: Sangho Cho, Guorong Sun, Karen L. Wooley, James W. Thackeray, Peter Trefonas, III
  • Publication number: 20140242516
    Abstract: A composition provided to contain: an alkoxysilane condensate obtained by the condensation of alkoxysilane A represented by general formula (1): (CH3)Si(OR1)3 (In the general formula (1), R1 mutually independently represents methyl group or ethyl group.), alkoxysilane B represented by general formula (2): (Ph)Si(OR1)3 (In the general formula (2), R1 mutually independently represents methyl group or ethyl group.), and alkoxysilane C represented by general formula (3): (CH3)2Si(OR1)2 (In the general formula (3), R1 mutually independently represents methyl group or ethyl group.) at an A:B:C mole ratio within the range of 30-70:10-50:20-60; and a polyether-modified polydimethylsiloxane.
    Type: Application
    Filed: October 5, 2012
    Publication date: August 28, 2014
    Inventors: Tsuyoshi Ogawa, Junya Nakatsuji, Kazuhiro Yamanaka
  • Publication number: 20140242359
    Abstract: Provided is a method of forming a pattern, including (a) forming, into a film, an actinic-ray- or radiation-sensitive resin composition comprising a resin that when acted on by an acid, increases its polarity and a compound that when exposed to actinic rays or radiation, generates an acid, (b) exposing the film to light, (c) developing the exposed film with a developer comprising an organic solvent to thereby form a negative pattern, and (d) coating the pattern with a composition comprising a resin comprising any of repeating units of general formula (I) below, a crosslinker component and an alcohol solvent to thereby induce crosslinking with the resin as a constituent of the pattern and thus form a crosslinked layer, in which R1 represents any of an alkyl group, an alkoxy group, an alkylcarbonyloxy group and an alkoxycarbonyl group.
    Type: Application
    Filed: May 2, 2014
    Publication date: August 28, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Atsushi NAKAMURA, Tadahiro ODANI
  • Publication number: 20140242520
    Abstract: An I-line photoresist composition, having excellent thermal stability at high temperature of 200-250° C., by which fine photoresist patterns form using an acid diffusion layer and a method for forming a fine pattern using the same, comprising: a polymer containing 1-99 mol % of repeating unit selected from a group consisting of 1-99 mol % of repeating unit represented by Formula 1, repeating unit represented by Formula 2, repeating unit represented by Formula 3 and mixture thereof; a photo active compound containing at least two diazonaphtoquinone (DNQ) groups; and an organic solvent. Formulas 1-3 are located in the specification. R* and R** are independently a hydrogen atom or a methyl group. R1 is a hydrogen atom or linear, branch or cyclic hydrocarbonyl group of 3-15 carbon atoms, containing or not containing 1-4 oxygen atoms. R2 is linear, branch or cyclic hydrocarbonyl group of 1-30 carbon atoms, containing or not containing 1-4 oxygen atoms.
    Type: Application
    Filed: September 21, 2012
    Publication date: August 28, 2014
    Inventors: Jung-Youl Lee, Eu-Jean Jang, Jae-Woo Lee, Jae-Hyun Kim
  • Publication number: 20140242502
    Abstract: According to one embodiment, an actinic-ray- or radiation-sensitive resin composition includes a compound (A) that contains a structure (P) containing at least one phenolic hydroxyl group and a structure (Q) containing at least one phenolic hydroxyl group whose hydrogen atom is replaced by a group (S) with a cyclic structure containing an acid crosslinking group, characterized in that the group (S) with a cyclic structure containing an acid crosslinking group is a group with a polycyclic structure or a group with a cyclic structure containing a hydroxymethyl group and/or an alkoxymethyl group.
    Type: Application
    Filed: May 9, 2014
    Publication date: August 28, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Tomotaka TSUCHIMURA, Hiroo TAKIZAWA
  • Publication number: 20140242521
    Abstract: A copolymer includes the polymerized product of a comonomer and a monomer having the formula (I): wherein c is 0, 1, 2, 3, 4, or 5; Ra is H, F, —CN, C1-10 alkyl, or C1-10 fluoroalkyl; Rx and Ry are each independently an unsubstituted or substituted C1-10 linear or branched alkyl group, an unsubstituted or substituted C3-10 cycloalkyl group, an unsubstituted or substituted C3-10 alkenylalkyl group, or an unsubstituted or substituted C3-10 alkynylalkyl group; wherein Rx and Ry together optionally form a ring; and Rz is a C6-20 aryl group substituted with an acetal-containing group or a ketal-containing group, or a C3-C20 heteroaryl group substituted with an acetal-containing group or a ketal-containing group, wherein the C6-20 aryl group or the C3-C20 heteroaryl group can, optionally, be further substituted. Also described are a photoresist including the copolymer, a coated substrate having a layer of the photoresist, and a method of forming an electronic device utilizing the photoresist.
    Type: Application
    Filed: February 21, 2014
    Publication date: August 28, 2014
    Inventors: Owendi Ongayi, James W. Thackeray, James F. Cameron
  • Publication number: 20140242505
    Abstract: A pattern forming method including: (i) a process of forming a film using an actinic ray-sensitive or radiation-sensitive resin composition containing a Resin (P) including a non-acid-decomposable Repeating Unit (b1) represented by a following General Formula (b1) and a repeating unit including a group capable of being decomposed by acid and generating a polar group, and a Compound (B) capable of generating an acid through irradiation of actinic rays or irradiation; (ii) a process of exposing the film using actinic rays or radiation with a wavelength of equal to or less than 200 nm; and (iii) a process of developing the exposed film using a developer including an organic solvent containing a hetero atom and carbon atoms having 7 or more carbon atoms to forming a negative tone pattern.
    Type: Application
    Filed: May 7, 2014
    Publication date: August 28, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Shuhei YAMAGUCHI, Hidenori TAKAHASHI, Kei YAMAMOTO, Fumihiro YOSHINO
  • Publication number: 20140242519
    Abstract: A polymer for resist use is obtainable from a monomer having formula (1) wherein R1 is H, CH3 or CF3, R2 and R3 are a monovalent hydrocarbon group, R4 to R9 are hydrogen or a monovalent hydrocarbon group, R10 is a monovalent hydrocarbon group or fluorinated hydrocarbon group, A1 is a divalent hydrocarbon group, k1 is 0 or 1, and n1A is 0, 1 or 2. A resist composition comprising the polymer displays a high dissolution contrast during organic solvent development.
    Type: Application
    Filed: February 3, 2014
    Publication date: August 28, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Masayoshi Sagehashi, Koji Hasegawa, Kazuhiro Katayama
  • Patent number: 8815490
    Abstract: The radiation-sensitive resin composition includes a first polymer, a second polymer and a radiation sensitive acid generator. The first polymer includes a repeating unit represented by formula (1). The second polymer includes an acid labile group and is dissociated by an action of acid so that alkali solubility is given by dissociation of said acid labile group. R1 represents a hydrogen atom, a methyl group or a trifluoromethyl group. R2 represents a single bond or a divalent linear, branched or cyclic, saturated or unsaturated hydrocarbon group having 1-20 carbon atoms. X represents a fluorine atom-substituted methylene group or a linear or branched fluoroalkylene group having 2-20 carbon atoms. R3 represents a hydrogen atom or a monovalent organic group.
    Type: Grant
    Filed: December 2, 2011
    Date of Patent: August 26, 2014
    Assignee: JSR Corporation
    Inventors: Yasuhiko Matsuda, Tomohisa Fujisawa, Yukari Hama, Takanori Kawakami
  • Publication number: 20140234780
    Abstract: Embodiments of the present invention are directed to techniques for obtaining patterns of features. One set of techniques uses multiple-pass rolling mask lithography to obtain the desired feature pattern. Another technique uses a combination of rolling mask lithography and a self-aligned plasmonic mask lithography to obtain a desired feature pitch.
    Type: Application
    Filed: April 24, 2014
    Publication date: August 21, 2014
    Applicant: ROLITH, INC.
    Inventors: Boris Kobrin, Mark Brongersma, Edward Barnard
  • Publication number: 20140234782
    Abstract: A method for manufacturing a semiconductor device according to an embodiment of the invention includes applying a resist on a substrate surface in a resist application apparatus, light-exposing the resist on the substrate surface in a light exposure apparatus, and after the light-exposing the resist, developing the resist in a development apparatus. The resist is a negative resist. The developing the resist includes mounting the substrate on a support stage including a rotating mechanism of the development apparatus, after the mounting the substrate on the support stage, developing the resist, after the mounting the substrate on the support stage, removing the resist on a peripheral edge of the substrate, and after the developing the resist, and after the removing the resist on the peripheral edge of the substrate, dismounting the substrate from the support stage.
    Type: Application
    Filed: July 9, 2013
    Publication date: August 21, 2014
    Inventor: Tomoya OORI
  • Publication number: 20140234759
    Abstract: According to one embodiment, there is provided an actinic ray- or radiation-sensitive resin composition containing (A) a resin containing a repeating unit represented by general formula (1) below and a repeating unit that is decomposed by an action of an acid to generate an alkali-soluble group, and (B) a compound that generates the acid when exposed to actinic rays or radiation, where L represents a bivalent connecting group, R1 represents a hydrogen atom or an alkyl group, and Z represents a cyclic acid anhydride structure.
    Type: Application
    Filed: March 28, 2014
    Publication date: August 21, 2014
    Applicant: FUJIFILM Corporation
    Inventors: Shohei KATAOKA, Akinori SHIBUYA, Junichi ITO, Tomoki MATSUDA, Toshiaki FUKUHARA, Naohiro TANGO, Kaoru IWATO, Masahiro YOSHIDOME, Shinichi SUGIYAMA, Yoko TOKUGAWA
  • Publication number: 20140231729
    Abstract: A negative-type photosensitive resin composition capable of forming a pattern having favorable adhesiveness at a low light exposure; a pattern forming method using the resin composition; a cured film, an insulating film, a color filter formed using resin composition; and a display device provided with the cured film, insulating film, or color filter. The resin composition contains a compound represented by the following formula (1). In the formula, R1 and R2 each independently indicate a hydrogen atom or an organic group, but at least one indicates an organic group. R1 and R2 may be bonded to form a ring structure and may contain a hetero atom bond. R3 indicates a single bond or an organic group. R4 to R9 each independently indicate a hydrogen atom, an organic group, etc., but R6 and R7 are never hydroxyl groups. R10 indicates a hydrogen atom or an organic group.
    Type: Application
    Filed: June 15, 2012
    Publication date: August 21, 2014
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Dai Shiota, Mayumi Kuroko, Kunihiro Noda, Yoshinori Tadokoro
  • Publication number: 20140234776
    Abstract: A photosensitive resin composition includes: an acrylic copolymer comprising a polymerization product of a first monomer comprising at least one selected from an unsaturated carboxylic acid and an unsaturated carboxylic acid anhydride, and a second monomer comprising an olefin-based unsaturated compound; a photosensitive component comprising at least one 1,2-quinonediazide-5-sulfonic acid ester compound selected from compounds represented by Chemical Formulae 1 to 4; a coupling agent; and a solvent, wherein a total amount of asymmetric compounds in the photosensitive component is greater than or equal to 45 area percent as determined by high performance liquid chromatography: wherein R1 is a hydroxyl group or a methyl group, and NQD is a 1,2-quinonediazide 5-sulfonyl group.
    Type: Application
    Filed: January 23, 2014
    Publication date: August 21, 2014
    Applicant: Samsung Display Co., Ltd.
    Inventors: Jin Ho JU, Seung Bo SHIM, Jun Gi KIM, Yang-Ho JUNG, Hyang-Shik KONG, Byung-Uk KIM, Jin-Sun KIM, Tae-Hoon YEO, Hyoc-Min YOUN, Sang-Hoon LEE
  • Publication number: 20140234761
    Abstract: A pattern forming method contains: (i) a step of forming a first film on a substrate by using a first resin composition (I), (ii) a step of forming a second film on the first film by using a second resin composition (II) different from the resin composition (I), (iii) a step of exposing a multi-layered film having the first film and the second film, and (iv) a step of developing the first film and the second film in the exposed multi-layered film by using an organic solvent-containing developer to form a negative pattern.
    Type: Application
    Filed: April 25, 2014
    Publication date: August 21, 2014
    Applicant: FUJIFILM Corporation
    Inventors: Michihiro SHIRAKAWA, Keita KATO, Tadahiro ODANI, Atsushi NAKAMURA, Hidenori TAKAHASHI, Kaoru IWATO
  • Publication number: 20140234783
    Abstract: The present invention provides a rinse solution for lithography and a pattern formation method using the solution. They can improve the pattern collapse, surface roughness and surface defects. The solution contains at least a sulfonic acid a nonionic surfactant having an alkyleneoxy group and water.
    Type: Application
    Filed: March 22, 2012
    Publication date: August 21, 2014
    Applicant: AZ ELECTRONIC MATERIALS USA CORP.
    Inventors: Xiaowei Wang, Georg Pawlowski, Yuriko Matsuura