With Measuring Or Testing Patents (Class 438/14)
  • Patent number: 8765495
    Abstract: A method of forming a pattern of doped region includes the following steps. At first, a device layout pattern including a gate layout pattern and a doped region layout pattern is provided to a computer system. Subsequently, the device layout pattern is split into a plurality of sub regions, and the sub regions have different pattern densities of the gate layout pattern. Then, at least an optical proximity correction (OPC) calculation is respectively performed on the doped region layout pattern in each of the sub regions to respectively form a corrected sub doped region layout pattern in each of the sub regions. Afterwards, the corrected sub doped region layout patterns are combined to form a corrected doped region layout pattern, and the corrected doped region layout pattern is outputted onto a mask through the computer system.
    Type: Grant
    Filed: April 16, 2013
    Date of Patent: July 1, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Yi-Hsiu Lee, Guo-Xin Hu, Qiao-Yuan Liu, Yen-Sheng Wang
  • Patent number: 8765496
    Abstract: Methods and systems for measuring a characteristic of a substrate or preparing a substrate for analysis are provided. One method for measuring a characteristic of a substrate includes removing a portion of a feature on the substrate using an electron beam to expose a cross-sectional profile of a remaining portion of the feature. The feature may be a photoresist feature. The method also includes measuring a characteristic of the cross-sectional profile. A method for preparing a substrate for analysis includes removing a portion of a material on the substrate proximate to a defect using chemical etching in combination with an electron beam. The defect may be a subsurface defect or a partially subsurface defect. Another method for preparing a substrate for analysis includes removing a portion of a material on a substrate proximate to a defect using chemical etching in combination with an electron beam and a light beam.
    Type: Grant
    Filed: April 28, 2008
    Date of Patent: July 1, 2014
    Assignee: KLA-Tencor Technologies Corp.
    Inventors: Mehran Nasser-Ghodsi, Mark Borowicz, Dave Bakker, Mehdi Vaez-Iravani, Prashant Aji, Rudy Garcia, Tzu Chin Chuang
  • Publication number: 20140179030
    Abstract: A multiple channel site-isolated reactor system and method are described. The system contains a reactor block with a plurality of reactors. Input lines are coupled to each reactor to provide a fluid to the respective reactors. A sealing element associated with each reactor contacts a surface of a substrate disposed below the reactor block, which defines isolated regions on the surface of the substrate. A dissolution rate monitor extends into each reactor to monitor a rate of real-time dissolution of one or more layers on the surface of the substrate when it is disposed proximate to the surface of the substrate.
    Type: Application
    Filed: December 20, 2012
    Publication date: June 26, 2014
    Applicant: INTERMOLECULAR, INC.
    Inventor: George Mirth
  • Patent number: 8759118
    Abstract: A system and method for plating a contact is provided. An embodiment comprises forming protective layers over a contact and a test pad, and then selectively removing the protective layer over the contact without removing the protective layer over the test pad. With the protective layer still on the test pad, a conductive layer may be plated onto the contact without plating it onto the test pad. After the contact has been plated, the protective layer over the contact may be removed.
    Type: Grant
    Filed: November 16, 2011
    Date of Patent: June 24, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chin-Fu Kao, Cheng-Lin Huang, Jing-Cheng Lin
  • Publication number: 20140170782
    Abstract: A method includes performing a semiconductor fabrication process on a plurality of substrates. The plurality of substrates are divided into a first subset and a second subset. A rework process is performed on the second subset of the plurality of substrates but not on the first subset. A respective mean value of at least one exposure parameter for a lithography process is computed for each respective one of the first and second subsets of the plurality of substrates. A scanner overlay correction and a mean correction are applied to expose a second plurality of substrates on which the rework process has been performed. The mean correction is based on the computed mean values.
    Type: Application
    Filed: December 17, 2012
    Publication date: June 19, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yen-Di TSEN, Shin-Rung LU, Jong-I MOU
  • Publication number: 20140170783
    Abstract: A mask alignment system for providing precise and repeatable alignment between ion implantation masks and workpieces. The system includes a mask frame having a plurality of ion implantation masks loosely connected thereto. The mask frame is provided with a plurality of frame alignment cavities, and each mask is provided with a plurality of mask alignment cavities. The system further includes a platen for holding workpieces. The platen may be provided with a plurality of mask alignment pins and frame alignment pins configured to engage the mask alignment cavities and frame alignment cavities, respectively. The mask frame can be lowered onto the platen, with the frame alignment cavities moving into registration with the frame alignment pins to provide rough alignment between the masks and workpieces. The mask alignment cavities are then moved into registration with the mask alignment pins, thereby shifting each individual mask into precise alignment with a respective workpiece.
    Type: Application
    Filed: December 10, 2013
    Publication date: June 19, 2014
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Aaron P. Webb, Charles T. Carlson, William T. Weaver, Christopher N. Grant
  • Patent number: 8754538
    Abstract: A semiconductor chip includes a first mark for identifying a position of the chip within an exposure field. The semiconductor chip includes a first matrix in a first layer of the chip and a second mark within the first matrix identifying a position of the exposure field on a wafer.
    Type: Grant
    Filed: June 24, 2008
    Date of Patent: June 17, 2014
    Assignee: Infineon Technologies AG
    Inventor: Jörg Ortner
  • Patent number: 8753900
    Abstract: Methods and apparatus for routing signal paths in an integrated circuit. One or more signal routing paths for transferring signals of the integrated circuit may be determined. A dummy fill pattern for the integrated circuit may be determined based on the one or more metal density specifications and at least one design rule for reducing cross coupling capacitance between the dummy fill pattern and the routing paths. The signal routing paths and/or the dummy fill pattern may be incrementally optimized to meet one or more timing requirements of the integrated circuit.
    Type: Grant
    Filed: August 25, 2009
    Date of Patent: June 17, 2014
    Assignee: International Business Machines Corporation
    Inventors: Karan B. Koti, Veena Prabhu
  • Patent number: 8753902
    Abstract: A method of controlling an etching process for forming an epitaxial structure includes the following steps. A substrate having a gate thereon is provided. A spacer is formed on the substrate beside the gate to define the position of the epitaxial structure. A thickness of the spacer is measured. The etching time of a first etching process is set according to the thickness. The first etching process is performed to form a recess in the substrate beside the spacer. The epitaxial structure is formed in the recess.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: June 17, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Chia-Jong Liu, Yen-Liang Wu, Chung-Fu Chang, Yu-Hsiang Hung, Pei-Yu Chou, Home-Been Cheng
  • Patent number: 8753901
    Abstract: The invention relates to an arrangement of contact areas and test areas on patterned semiconductor chips. The contact areas and the test areas are electrically connected to one another via a conduction web. Whereas the contact areas are arranged in a first region, which has no components of an integrated circuit, the test areas lie in a second region of the top side of the semiconductor chip, which region has components of an integrated circuit.
    Type: Grant
    Filed: July 28, 2011
    Date of Patent: June 17, 2014
    Assignee: Infineon Technologies AG
    Inventors: Ertle Werner, Bernd Goller, Michael Horn, Bernd Kothe
  • Patent number: 8753904
    Abstract: The present disclosure provides a method and system for characterizing a pattern loading effect. A method may include performing a reflectivity measurement on a semiconductor wafer and determining an anneal process technique based on the reflectivity measurement. The determining the anneal process technique may include determining a spatial distance for a reflectivity change using a reflectivity map generated using the reflectivity measurement. This spatial distance is compared with the thermal diffusion length associated with each of the plurality of anneal process techniques. In an embodiment, a thermal profile map and/or a device performance map may be provided.
    Type: Grant
    Filed: June 7, 2012
    Date of Patent: June 17, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun Hsiung Tsai, Sheng-Wen Yu, De-Wei Yu
  • Publication number: 20140162384
    Abstract: A substrate is provided wherein the substrate includes a number of site-isolated regions (SIRs). At least one material is deposited using PVD on a sub-set of the SIRs. At least one of the material or the process conditions are varied in a combinatorial manner across the sub-set of SIRs. Next, at least one material is deposited using ALD on a sub-set of the SIRs. At least one of the material or the process conditions are varied in a combinatorial manner across the sub-set of SIRs. Next, a material is deposited across the entire substrate using CVD. Each device within each of the SIRs is evaluated for at least one of an electric property or a material property.
    Type: Application
    Filed: December 6, 2012
    Publication date: June 12, 2014
    Applicant: Intermolecular Inc.
    Inventor: Amol Joshi
  • Patent number: 8748289
    Abstract: A method for manufacturing a semiconductor device makes it possible to efficiently polish with a polishing tape a peripheral portion of a silicon substrate under polishing conditions particularly suited for a deposited film and for silicon underlying the deposited film. The method includes pressing a first polishing tape against a peripheral portion of a device substrate having a deposited film on a silicon surface while rotating the device substrate at a first rotational speed, thereby removing the deposited film lying in the peripheral portion of the device substrate and exposing the underlying silicon. A second polishing tape is pressed against the exposed silicon lying in the peripheral portion of the device substrate while rotating the device substrate at a second rotational speed, thereby polishing the silicon to a predetermined depth.
    Type: Grant
    Filed: April 23, 2013
    Date of Patent: June 10, 2014
    Assignee: Ebara Corporation
    Inventors: Masayuki Nakanishi, Tetsuji Togawa, Kenya Ito, Masaya Seki, Kenji Iwade, Takeo Kubota
  • Patent number: 8751047
    Abstract: A method for calibrating alignment of an end effector with respect to a chuck in a plasma processing system is provided. The method including positioning the end effector over the chuck and taking a still image of the chuck and the end effector. The method including processing the still image to ascertain the center of the chuck and the end effector-defined center defined by the end effector. The method including determining a positional difference between the end effector-defined center and the center of the chuck. The method also including providing the positional difference to a robot controller to control a robot mechanism to adjust the positional difference when the end effector transports a wafer.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: June 10, 2014
    Assignee: Lam Research Corporation
    Inventors: Matt Rodnick, Christine Allen-Blanchette
  • Patent number: 8749255
    Abstract: An electronic device test apparatus which can optimize throughput and costs is provided. An electronic device test apparatus 1 comprises: a test cell cluster 10 having cell groups 11A to 11H each of which has a plurality of test cells 20; and a conveyor apparatus 30 supplying test carriers to a plurality of the test cells 20, and each of the test cell 20 has: contactors 215; a flow path 221 connected to a vacuum pump 25 and reducing pressure in a recess 211 of a pocket 21 so as to bring external terminals 73 and the contactors 215 into contact; and a test circuit for running a test on an electronic circuit formed into a die 90.
    Type: Grant
    Filed: February 1, 2011
    Date of Patent: June 10, 2014
    Assignee: Advantest Corporation
    Inventors: Yasuhide Takeda, Hiroyuki Nagai, Yoji Ogino, Tatsuya Yamada
  • Publication number: 20140154819
    Abstract: A semiconductor substrate support for supporting a semiconductor substrate in a plasma processing chamber includes a heater array comprising thermal control elements operable to tune a spatial temperature profile on the semiconductor substrate, the thermal control elements defining heater zones each of which is powered by two or more power supply lines and two or more power return lines wherein each power supply line is connected to at least two of the heater zones and each power return line is connected to at least two of the heater zones. A power distribution circuit is mated to a baseplate of the substrate support, the power distribution circuit being connected to each power supply line and power return line of the heater array. A switching device is connected to the power distribution circuit to independently provide time-averaged power to each of the heater zones by time divisional multiplexing of a plurality of switches.
    Type: Application
    Filed: November 30, 2012
    Publication date: June 5, 2014
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Keith William Gaff, Tom Anderson, Keith Comendant, Ralph Jan-Pin Lu, Paul Robertson, Eric A. Pape, Neil Benjamin
  • Publication number: 20140151807
    Abstract: A device includes a plurality of trenches and fins defined in a substantially un-doped layer of semiconducting material, a gate insulation layer positioned on the fins and on the bottom of the trenches, a gate electrode and a device isolation structure. One method disclosed herein involves identifying a top width of each of a plurality of fins and a depth of a plurality of trenches to be formed in a substantially un-doped layer of semiconducting material, wherein, during operation, the device is adapted to operate in at least three distinguishable conditions depending upon a voltage applied to the device, performing at least one process operation to define the trenches and fins in the layer of semiconducting material, forming a gate insulation layer on the fins and on a bottom of the trenches and forming a gate electrode above the gate insulation layer.
    Type: Application
    Filed: December 5, 2012
    Publication date: June 5, 2014
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Min-hwa Chi, Werner Juengling
  • Publication number: 20140151699
    Abstract: A method of fabricating integrated circuit devices is provided. The method includes forming a plurality of spaced integrated circuit dies on a semiconductor wafer and forming a dedicated test die on the semiconductor wafer adjacent the plurality of spaced integrated circuit dies, the dedicated test die including a test structure having a first width when viewed in a top view and being operable to generate wafer evaluation data. Further, the method includes forming a scribe line region interposed between the plurality of spaced integrated circuit dies, the scribe line region having a second width defined by a distance between adjacent integrated circuit dies when viewed in a top view, the second width being smaller than the first width, and the scribe line region being free of test structures.
    Type: Application
    Filed: December 3, 2012
    Publication date: June 5, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chuan-Ling Wu, Cheng-Hsien Chuang, Chun-Chang Chen, Wang-Pen Mo, Hung-Chang Hsieh
  • Publication number: 20140150860
    Abstract: An example electronic device includes a region formed from an array of dissipative quantum dots. The quantum dots are arranged according to their electronic structure to provide a tailored asymmetry in current flow through the region.
    Type: Application
    Filed: May 16, 2012
    Publication date: June 5, 2014
    Applicant: The Board of Trustees of the University of Illinoi
    Inventor: Dirk K. Morr
  • Patent number: 8741680
    Abstract: A two-transistor (2T) pixel comprises a chemically-sensitive transistor (ChemFET) and a selection device which is a non-chemically sensitive transistor. A plurality of the 2T pixels may form an array, having a number of rows and a number of columns. The ChemFET can be configured in a source follower or common source readout mode. Both the ChemFET and the non-chemically sensitive transistor can be NMOS or PMOS device.
    Type: Grant
    Filed: March 22, 2013
    Date of Patent: June 3, 2014
    Assignee: Life Technologies Corporation
    Inventors: Keith G. Fife, Kim L. Johnson, Mark James Milgrew
  • Patent number: 8741665
    Abstract: A method of manufacturing a semiconductor module is provided. The method includes forming semiconductor chips on a bare substrate, performing a burn-in process on the bare substrate including the semiconductor chips, sorting semiconductor chips that exceed a predetermined level of operability determined by testing electrical driving in the semiconductor chips on the burned-in bare substrate, separating the semiconductor chips from one another by cutting the bare substrate, and directly mounting the module semiconductor chips on a module substrate.
    Type: Grant
    Filed: January 16, 2012
    Date of Patent: June 3, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sangyoung Kim, Jaereyun Jung, Sanggug Lee, Jongtae Park
  • Patent number: 8741668
    Abstract: A thin overlay structure for use in imaging based metrology is disclosed. The thin overlay structure may include a first structure and second structure, the first and second structures designed to have a common center of symmetry, both structures being invariant to a 180 degree rotation about the common center of symmetry, wherein a mark region defining the extent of the structures is characterized by a first direction and a second direction orthogonal to the first direction, a length of the mark region along the first direction being greater than a length of the mark region along the second direction.
    Type: Grant
    Filed: August 12, 2013
    Date of Patent: June 3, 2014
    Assignee: KLA-Tencor Corporation
    Inventor: Mark Ghinovker
  • Patent number: 8735181
    Abstract: A measuring device measures a gate length of a plurality of gate electrodes formed on a wafer. A calculation device calculates data of an ion implantation dosage for making uniform a threshold voltage in a wafer surface on the basis of distribution of the gate length in a wafer surface measured by the measuring device. The ion implantation device implants ions into the wafer on the basis of the data of the ion implantation dosage calculated by the calculation device.
    Type: Grant
    Filed: October 15, 2008
    Date of Patent: May 27, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Osamu Fujii, Yoshimasa Kawase, Hisato Oyamatsu, Takeshi Shibata
  • Patent number: 8735182
    Abstract: A method for detecting embedded voids present in a structure formed in or on a semiconductor substrate is described. The method includes performing a processing step P1 for forming the structure; measuring the mass M1 of the substrate; performing thermal treatment; measuring the mass M2 of the substrate; calculating the mass difference between the mass of the substrate measured before and after the performed thermal treatment; and deducing the presence of embedded voids in the structure by comparing the mass difference with a pre-determined value.
    Type: Grant
    Filed: June 7, 2012
    Date of Patent: May 27, 2014
    Assignee: IMEC
    Inventors: Leonardus Leunissen, Sandip Halder, Eric Beyne
  • Publication number: 20140141542
    Abstract: Methods and apparatus to form films on sensitive substrates while preventing damage to the sensitive substrate are provided herein. In certain embodiments, methods involve forming a bilayer film on a sensitive substrate that both protects the underlying substrate from damage and possesses desired electrical properties. Also provided are methods and apparatus for evaluating and optimizing the films, including methods to evaluate the amount of substrate damage resulting from a particular deposition process and methods to determine the minimum thickness of a protective layer. The methods and apparatus described herein may be used to deposit films on a variety of sensitive materials such as silicon, cobalt, germanium-antimony-tellerium, silicon-germanium, silicon nitride, silicon carbide, tungsten, titanium, tantalum, chromium, nickel, palladium, ruthenium, or silicon oxide.
    Type: Application
    Filed: November 7, 2013
    Publication date: May 22, 2014
    Applicant: Novellus Systems, Inc.
    Inventors: Hu Kang, Shankar Swaminathan, Adrien LaVoie, Jon Henri
  • Publication number: 20140141541
    Abstract: A loading port includes a housing and a plurality of stations defined in the housing configured to receive a front opening universal pod (FOUP). The loading port further includes a connector configured to receive an inert gas. At least one of the plurality of stations is configured to deliver the inert gas to the FOUP to purge an interior of the FOUP of moisture. A system including the loading port and a method of using the system are also described.
    Type: Application
    Filed: November 16, 2012
    Publication date: May 22, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Wen-Chang TSAI, Shao-Yen KU, Hsieh-Ching WEI, Yuan Chih CHIANG, Jui-Chuan CHANG, Yung-Li TSAI
  • Patent number: 8728896
    Abstract: When forming sophisticated transistors requiring an embedded semiconductor alloy, the cavities may be formed with superior uniformity on the basis of, for instance, crystallographically anisotropic etch steps by providing a uniform oxide layer in order to reduce process related fluctuations or queue time variations. The uniform oxide layer may be formed on the basis of an APC control regime.
    Type: Grant
    Filed: September 21, 2011
    Date of Patent: May 20, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Stephan Kronholz, Andreas Ott, Ina Ostermay
  • Patent number: 8728947
    Abstract: A method for opening a conformal layer at the bottom of a contact via on a substrate is described. The method includes providing a substrate having a first layer with a via pattern formed therein and a second layer conformally deposited on the first layer and within the via pattern to establish a contact via pattern characterized by an initial mid-critical dimension (CD). The method further includes etching through the second layer at the bottom of the contact via pattern to extend the contact via pattern through the second layer and form a contact via while retaining at least part of the second layer on the top surface of the first layer, the corner at the entrance to the via pattern, and the sidewalls of the via pattern, wherein the etching is performed by irradiating the substrate with a gas cluster ion beam (GCIB) according to a GCIB etching process.
    Type: Grant
    Filed: June 8, 2012
    Date of Patent: May 20, 2014
    Assignee: TEL Epion Inc.
    Inventors: Christopher K Olsen, Luis Fernandez
  • Patent number: 8728832
    Abstract: Embodiments related to methods for forming a film stack on a substrate are provided. One example method comprises exposing the substrate to an activated oxygen species and converting an exposed surface of the substrate into a continuous monolayer of a first dielectric material. The example method also includes forming a second dielectric material on the continuous monolayer of the first dielectric material without exposing the substrate to an air break.
    Type: Grant
    Filed: May 7, 2012
    Date of Patent: May 20, 2014
    Assignee: ASM IP Holdings B.V.
    Inventors: Petri Raisanen, Michael Givens, Mohith Verghese
  • Publication number: 20140134759
    Abstract: An embodiment of a method of forming a substrate pattern including forming a bottom layer and an overlying middle layer on the substrate. A photo resist pattern is formed on the middle layer. An etch coating layer is deposited on the photo resist pattern. The etch coating layer and the photo resist pattern are used as a masking element to pattern at least one of the middle layer and the bottom layer. The substrate is etched to form the substrate pattern using the at least one of the patterned middle layer and the patterned bottom layer as a masking element. The substrate pattern may be used as an element of an overlay measurement process.
    Type: Application
    Filed: November 9, 2012
    Publication date: May 15, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yu Chao Lin, Chia-Hao Hsu, Kuo-Yu Wu, Chia-Jen Chen, Chao-Cheng Chen
  • Publication number: 20140131667
    Abstract: An organic layer deposition apparatus, a method of manufacturing an organic light-emitting display apparatus by using the same, and an organic light-emitting display apparatus manufactured by the method, and more particularly, an organic layer deposition apparatus that is suitable for use in the mass production of a large substrate, that enables high-definition patterning, and that is capable of controlling a distance between a patterning slit sheet and a substrate that moves, a method of manufacturing an organic light-emitting display apparatus by using the organic layer deposition apparatus, and an organic light-emitting display apparatus manufactured by the method.
    Type: Application
    Filed: March 12, 2013
    Publication date: May 15, 2014
    Applicant: SAMSUNG DISPLAY CO., LTD.
    Inventor: Yun-Ho Chang
  • Publication number: 20140134760
    Abstract: Methods and devices for embedding semiconductors in printed circuit boards (PCBs) are provided. In one example, a method of manufacturing a PCB having a die assembly embedded therein includes removing a release film from an adhesive layer of the die assembly. The method also includes disposing the die assembly on a first layer of the PCB such that the adhesive layer contacts the first layer of the PCB. The method includes disposing a second layer of the PCB over the first layer such that the die assembly is within an intermediate portion between the first layer and the second layer. The method also includes filling the intermediate portion with resin and subjecting the PCB to a press cycle to cure the resin.
    Type: Application
    Filed: January 23, 2014
    Publication date: May 15, 2014
    Applicant: Apple Inc.
    Inventors: Shawn X. Arnold, Dennis R. Pyper
  • Patent number: 8722432
    Abstract: The present invention provides devices capable of testing the electrical performance of thin-film transistor backplane arrays and methods for their use.
    Type: Grant
    Filed: February 23, 2010
    Date of Patent: May 13, 2014
    Assignee: Arizona Board of Regents, a body corporate of the State of Arizona, acting for and on behalf of Arizona State University
    Inventors: Edward J. Bawolek, Curtis D. Moyer, Sameer M. Venugopal
  • Patent number: 8723769
    Abstract: An organic light-emitting display device including: a substrate including a pixel region and a non-pixel region; a first electrode formed on the pixel region in a first direction; a first wire coupled to the first electrode and formed in the non-pixel region; a second electrode formed in the pixel region in a second direction; a second wire coupled to the second electrode and formed in the non-pixel region; an organic thin film layer formed between the first electrode and the second electrode; a drive circuit coupled to the first wire and the second wire; and a passivation layer formed across the pixel region and the non-pixel region and having an opening to expose at least one of the first wire and the second wire.
    Type: Grant
    Filed: July 17, 2009
    Date of Patent: May 13, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventor: Sung-Chun Park
  • Patent number: 8723177
    Abstract: Disclosed herein are various electrical test structures for evaluating semiconductor devices that employ high-k dielectrics and/or metal gate electrode structures. In one example, the test structure disclosed herein includes a first line formed over an isolation material, a first active region defined in a semiconducting substrate and a first extension formed over an isolation material, the first extension extending from a first side of the first line, wherein the first extension is positioned proximate the first active region and wherein the first line and the first extension are comprised of at least one of a high-k layer of insulating material or a metal layer.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: May 13, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventor: Robert C. Lutz
  • Publication number: 20140127836
    Abstract: A system and method of compensating for local focus errors in a semiconductor process. The method includes providing a reticle and applying, at a first portion of the reticle, a step height based on an estimated local focus error for a first portion of a wafer corresponding to the first portion of the reticle. A multilayer coating is formed over the reticle and an absorber layer is formed over the multilayer coating. A photoresist is formed over the absorber layer. The photoresist is patterned, an etch is performed of the absorber layer and residual photoresist is removed.
    Type: Application
    Filed: November 8, 2012
    Publication date: May 8, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chia-Hao HSU, Pei-Cheng Hsu, Chia-Ching Huang, Chih-Ming Chen, Chia-Chen Chen
  • Publication number: 20140127837
    Abstract: A thin film deposition apparatus and a method of depositing a thin film using the thin film deposition apparatus, the thin film deposition apparatus including a chamber having a substrate and a mask mounted therein; a deposition source, the deposition source supplying a deposition gas to the substrate; and a mask measuring unit, the mask measuring unit measuring a status of the mask within the chamber.
    Type: Application
    Filed: March 8, 2013
    Publication date: May 8, 2014
    Inventor: Jeong-Won HAN
  • Publication number: 20140124776
    Abstract: A metal oxide film including a crystal part and having highly stable physical properties is provided. The size of the crystal part is less than or equal to 10 nm, which allows the observation of circumferentially arranged spots in a nanobeam electron diffraction pattern of the cross section of the metal oxide film when the measurement area is greater than or equal to 5 nm? and less than or equal to 10 nm?.
    Type: Application
    Filed: November 5, 2013
    Publication date: May 8, 2014
    Applicant: SEMICONDUCTORS ENERGY LABORATORY CO., LTD.
    Inventors: Masahiro Takahashi, Takuya Hirohashi, Masashi Tsubuku, Noritaka Ishihara, Masashi Oota
  • Patent number: 8716037
    Abstract: A direct measurement of lattice spacing by X-ray diffraction is performed on a periodic array of unit structures provided on a substrate including semiconductor devices. Each unit structure includes a single crystalline strained material region and at least one stress-generating material region. For example, the single crystalline strained material region may be a structure simulating a channel of a field effect transistor, and the at least one stress-generating material region may be a single crystalline semiconductor region in epitaxial alignment with the single crystalline strained material region. The direct measurement can be performed in-situ at various processing states to provide in-line monitoring of the strain in field effect transistors in actual semiconductor devices.
    Type: Grant
    Filed: December 14, 2010
    Date of Patent: May 6, 2014
    Assignee: International Business Machines Corporation
    Inventors: Thomas N. Adam, Stephen W. Bedell, Eric C. Harley, Judson R. Holt, Anita Madan, Conal E. Murray, Teresa L. Pinto
  • Patent number: 8716038
    Abstract: Several embodiments of semiconductor systems and associated methods of color corrections are disclosed herein. In one embodiment, a method for producing a light emitting diode (LED) includes forming an (LED) on a substrate, measuring a base emission characteristic of the formed LED, and selecting a phosphor based on the measured base emission characteristic of the formed LED such that a combined emission from the LED and the phosphor at least approximates white light. The method further includes introducing the selected phosphor onto the LED via, for example, inkjet printing.
    Type: Grant
    Filed: March 2, 2010
    Date of Patent: May 6, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Kevin Tetz, Charles M. Watkins
  • Patent number: 8713769
    Abstract: A novel method for manufacturing embedded a capacitive stack and a novel capacitive stack apparatus are provided having a capacitive core that serves as a structural substrate on which alternating thin conductive foils and nanopowder-loaded dielectric layers may be added and tested for reliability. This layering and testing allows early fault detection of the thin dielectric layers of the capacitive stack. The capacitive stack may be configured to supply multiple isolated capacitive elements that provide segregated, device-specific decoupling capacitance to one or more electrical components. The capacitive stack may serve as a core substrate on which a plurality of additional signaling layers of a multilayer circuit board may be coupled.
    Type: Grant
    Filed: March 10, 2008
    Date of Patent: May 6, 2014
    Assignee: Sanmina-Sci Corporation
    Inventor: George Dudnikov
  • Patent number: 8712569
    Abstract: A system for determining a list of potential lots for consolidation is presented. The system includes a module having a database and an input for receiving an event occurrence. The database may include a set of consolidation rules. The module, upon receiving the event occurrence, retrieves the consolidation rules and initiates a consolidation analysis to determine the list of potential lots for consolidation based on the consolidation rules.
    Type: Grant
    Filed: June 27, 2008
    Date of Patent: April 29, 2014
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Jimmy Lay Kuan Goh, Meng Yong Quek, Siow Ling Kong
  • Patent number: 8709832
    Abstract: A chip on film (COF) package and a method for manufacturing same are provided. The COF package comprises a base film, a semiconductor chip mounted on the base film, a signal-inputting portion mounted on the base film, a first passive element mounted on the base film and comprising first and second terminals and a first signal line formed on the base film and connecting the first passive element to the semiconductor chip, wherein the first signal line comprises a connection pad connected to the first terminal of the first passive element and a first test line connected to the signal-inputting portion.
    Type: Grant
    Filed: August 18, 2011
    Date of Patent: April 29, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyoung-ho Kim, Ye-jung Jung
  • Patent number: 8709833
    Abstract: A plurality of diode/resistor devices are formed within an integrated circuit structure using manufacturing equipment operatively connected to a computerized machine. Each of the diode/resistor devices comprises a diode device and a resistor device integrated into a single structure. The resistance of each of the diode/resistor devices is measured during testing of the integrated circuit structure using testing equipment operatively connected to the computerized machine. The current through each of the diode/resistor devices is also measured during testing of the integrated circuit structure using the testing equipment. Then, response curves for the resistance and the current are computed as a function of variations of characteristics of transistor devices within the integrated circuit structure and/or variations of manufacturing processes of the transistor devices within the integrated circuit structure.
    Type: Grant
    Filed: December 22, 2011
    Date of Patent: April 29, 2014
    Assignee: International Business Machines Corporation
    Inventors: Lyndon R. Logan, Edward J. Nowak, Robert R. Robison, Jonathan K. Winslow, II
  • Patent number: 8710489
    Abstract: To provide an epitaxial substrate for electronic devices, in which current flows in a lateral direction, which enables accurate measurement of the sheet resistance of HEMTs without contact, and to provide a method of efficiently producing the epitaxial substrate for electronic devices, the method characteristically includes the steps of forming a barrier layer against impurity diffusion on one surface of a high-resistance Si-single crystal substrate, forming a buffer as an insulating layer on the other surface of the high-resistance Si-single crystal substrate, producing an epitaxial substrate by epitaxially growing a plurality of III-nitride layers on the buffer to form a main laminate, and measuring resistance of the main laminate of the epitaxial substrate without contact.
    Type: Grant
    Filed: July 13, 2010
    Date of Patent: April 29, 2014
    Assignee: Dowa Electronics Materials Co., Ltd.
    Inventors: Tetsuya Ikuta, Daisuke Hino, Ryo Sakamoto, Tomohiko Shibata
  • Patent number: 8704224
    Abstract: A resistive test structure that includes a semiconductor substrate with an active region, a gate stack formed over the active region, a first electrical contact in communication with the active region on opposing sides of the gate stack, the first electrical contact providing an electrical short across a first dimension of the gate stack, and a second electrical contact in communication with the active region on the opposing sides of the gate stack, the second electrical contact providing an electrical short across the first dimension of the gate stack, the first and second electrical contacts spaced along a second dimension of the gate stack perpendicular to the first dimension.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: April 22, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: An-Chun Tu, Chen-Ming Huang, Chih-Jen Wu, Chin-Hsiang Lin
  • Patent number: 8703507
    Abstract: A semiconductor device comprising a first insulating layer, a first metal conductor layer formed over the first insulating layer, a second insulating layer comprising a low-k insulating material formed over the first metal conductor, a second metal conductor layer formed over the second insulating layer, vias formed in the second insulating layer connecting the first metal conductor layer to the second metal conductor layer, and a plurality of metal lines. One of the metal lines is expanded around one of the vias compared to metal lines around other ones of the vias so that predetermined areas around each of the vias meets a minimum metal density.
    Type: Grant
    Filed: September 28, 2012
    Date of Patent: April 22, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Douglas M. Reber
  • Publication number: 20140106479
    Abstract: A method for fabricating a semiconductor device is disclosed. The method includes forming at least one material layer over a substrate; performing an end-cut patterning process to form an end-cut pattern overlying the at least one material layer; transferring the end-cut pattern to the at least one material layer; performing a line-cut patterning process after the end-cut patterning process to form a line-cut pattern overlying the at least one material layer; and transferring the line-cut pattern to the at least one material layer.
    Type: Application
    Filed: October 22, 2013
    Publication date: April 17, 2014
    Inventors: Li-Te S. Lin, Meng Jun Wang, Ya Hui Chang, Hui Ouyang
  • Publication number: 20140106478
    Abstract: There is provided a slit valve unit including: a body disposed on an outer side of a process chamber and having an entrance connected to an opening of the process chamber; a slit valve provided in an internal space of the body and selectively opening and closing the entrance; a plurality of packing members provided along the circumference of the entrance on an inner side of the body and tightly attached to the slit valve when the slit valve shields the entrance; and a connection pipe having one end exposed between the plurality of packing members on the inner side of the body so as to be connected to an airtight space formed among the plurality of packing members, the body, and the slit valve, and the other end exposed to the outer side of the body, the connection pipe penetrating the body.
    Type: Application
    Filed: March 15, 2013
    Publication date: April 17, 2014
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: SAMSUNG ELECTRONICS CO., LTD.
  • Patent number: 8698324
    Abstract: The present invention provides a dicing tape-integrated film for semiconductor back surface, which includes: a dicing tape including a base material and a pressure-sensitive adhesive layer provided on the base material; and a film for flip chip type semiconductor back surface provided on the pressure-sensitive adhesive layer, in which the film for flip chip type semiconductor back surface contains a black pigment.
    Type: Grant
    Filed: December 22, 2010
    Date of Patent: April 15, 2014
    Assignee: Nitto Denko Corporation
    Inventors: Naohide Takamoto, Takeshi Matsumura, Goji Shiga