With Measuring Or Testing Patents (Class 438/14)
  • Publication number: 20140256067
    Abstract: The present disclosure provides an integrated circuit structure that includes a semiconductor substrate having a first region and a second region having an area less than about 10 micron×10 micron; a first material layer over the semiconductor substrate and patterned to have a first circuit feature in the first region and a first mark in the second region; and a second material layer over the first material layer and patterned to have a second circuit feature in the first region and a second mark in the second region. The first mark includes first mark features oriented in a first direction, and second mark features oriented in a second direction perpendicular to the first direction. The second mark includes third mark features oriented in the first direction, and fourth mark features oriented in the second direction.
    Type: Application
    Filed: May 23, 2014
    Publication date: September 11, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Dong-Hsu Cheng, Ming-Ho Tsai, Chih-Chung Huang, Yung- Hsiang Chen, Jyun-Hong Chen
  • Publication number: 20140256066
    Abstract: Methods, systems, and computer programs are presented for reducing chamber instability while processing a semiconductor substrate. One method includes an operation for identifying a first recipe with steps having an operating frequency equal to the nominal frequency of a radiofrequency (RF) power supply. Each step is analyzed with the nominal frequency, and the analysis determines if any step produces instability at the nominal frequency. The operating frequency is adjusted, for one or more of the steps, when the instability in the one or more steps exceeds a threshold. The adjustment acts to find an approximate minimum level of instability. A second recipe is constructed after the adjustment, such that at least one of the steps includes a respective operating frequency different from the nominal frequency. The second recipe is used to etch the one or more layers disposed over the substrate in the semiconductor processing chamber.
    Type: Application
    Filed: March 11, 2013
    Publication date: September 11, 2014
    Applicant: Lam Research Corporation
    Inventor: Arthur Sato
  • Patent number: 8828746
    Abstract: A silicon device includes an active silicon layer, a buried oxide (BOX) layer beneath the active silicon layer and a high-resistivity silicon layer beneath the BOX layer. The device also includes a harmonic suppression layer at a boundary of the BOX layer and the high-resistivity silicon layer.
    Type: Grant
    Filed: November 14, 2012
    Date of Patent: September 9, 2014
    Assignee: International Business Machines Corporation
    Inventors: Alan B. Botula, Mark D. Jaffe, Alvin J. Joseph, Richard A. Phelps, James Slinkman, Randy L. Wolf
  • Patent number: 8829933
    Abstract: Various embodiments of a semiconductor apparatus and related methods are disclosed. In one exemplary embodiment, a semiconductor apparatus may include a chip, scribe lanes disposed around the chip, and a probe test logic circuit for conducting a probe test on the chip. The probe test logic circuit is disposed on a portion of the scribe lanes.
    Type: Grant
    Filed: July 14, 2010
    Date of Patent: September 9, 2014
    Assignee: SK Hynix Inc.
    Inventors: Tae Sik Yun, Jong Chern Lee
  • Patent number: 8831910
    Abstract: A method of measuring the density of a plurality of defects that occur in a single crystal for each type of defect, includes: etching an observation surface, which is a surface of the single crystal, to form an etch pits at each defect; calculating the maximum depth, mean depth and depth curvature of each of etch pits formed at a plurality of defects present within a predetermined area on the observation surface; and comparing the measured maximum depth, mean depth and depth curvature with respective reference values to determine the type of each defect within the predetermined area.
    Type: Grant
    Filed: March 10, 2010
    Date of Patent: September 9, 2014
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventors: Yoshitomo Shintani, Katsuichi Kitagawa
  • Patent number: 8828259
    Abstract: A method for automatically performing power matching using a mechanical RF match during substrate processing is provided. The method includes providing a plurality of parameters for the substrate processing wherein the plurality of parameters including at least a predefined number of learning cycles. The method also includes setting the mechanical RF match to operate in a mechanical tuning mode. The method further includes providing a first set of instructions to the substrate processing to ignore a predefined number of cycles of Rapid Alternating Process RAP steps. The method yet also includes operating the mechanical RF match in the mechanical tuning mode for the predefined number of learning cycles. The method yet further includes determining a set of optimal capacitor values. The method moreover includes providing a second set of instructions to a power generator to operate in a frequency tuning mode.
    Type: Grant
    Filed: July 7, 2011
    Date of Patent: September 9, 2014
    Assignee: Lam Research Corporation
    Inventor: Arthur H. Sato
  • Patent number: 8828747
    Abstract: According to one embodiment, a pattern forming method includes forming a self-assembled material on a plurality of first patterns, forming a plurality of second patterns by heating the self-assembled material and causing microphase separation of the self-assembled material, the second patterns corresponding to the first patterns, and calculating positional deviations of respective positions of the second patterns from positions of the corresponding first patterns. When at least one of the positional deviations is larger than a predetermined value, the self-assembled material is adjusted.
    Type: Grant
    Filed: February 14, 2013
    Date of Patent: September 9, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hironobu Sato
  • Patent number: 8828748
    Abstract: Test structures and methods for semiconductor devices, lithography systems, and lithography processes are disclosed. In one embodiment, a method of manufacturing a semiconductor device includes using a lithography system to expose a layer of photosensitive material of a workpiece to energy through a lithography mask, the lithography mask including a plurality of first test patterns having a first phase shift and at least one plurality of second test patterns having at least one second phase shift. The layer of photosensitive material of the workpiece is developed, and features formed on the layer of photosensitive material from the plurality of first test patterns and the at least one plurality of second test patterns are measured to determine a optimal focus level or optimal dose of the lithography system for exposing the layer of photosensitive material of the workpiece.
    Type: Grant
    Filed: May 24, 2013
    Date of Patent: September 9, 2014
    Assignee: Infineon Technologies AG
    Inventor: Sajan Marokkey
  • Publication number: 20140248720
    Abstract: An apparatus for determining the temperature of a substrate, in particular of a semiconductor wafer during a heating thereof by means of a first radiation source is described. Furthermore, an apparatus and a method for thermally treating substrates are described, in which the substrate is heated by means of at least one first radiation source. The apparatus comprises a first grating structure having grating lines, which are opaque with respect to a substantial portion of the radiation of the first radiation source, wherein the grating structure is arranged between the first radiation source and the substrate, and a drive unit for moving the first grating structure.
    Type: Application
    Filed: October 17, 2012
    Publication date: September 4, 2014
    Applicant: CENTROTHERM THERMAL SOLUTIONS GMBH &CO. KG
    Inventors: Denise Reichel, Wilfried Lerch, Jeff Gelpey, Wolfgang Skorupa, Thomas Schumann
  • Patent number: 8822238
    Abstract: A method for placing a component on a target platform includes providing component alignment marks, target platform reference marks, a first multiple-sensor probe including first sensors, and a second multiple-sensor probe including third sensors. The method further includes determining second sensors included in the first sensors, and sensing a first signal from a first one of the alignment marks by at least one of the second sensors. The method further includes determining fourth sensors included in the third sensors. The method further includes sensing a second signal from a second one of the alignment marks by at least one of the fourth sensors, and detecting a deviation of the component from the target platform associated with a first position of one of the second sensors that sense the first signal and a second position of one of the fourth sensors that sense the second signal.
    Type: Grant
    Filed: March 16, 2011
    Date of Patent: September 2, 2014
    Assignee: Wintec Industries, Inc.
    Inventor: Kong-Chen Chen
  • Patent number: 8823385
    Abstract: Techniques disclosed herein stress a dielectric layer until a pre-catastrophic, stress induced leakage current (SILC) condition is detected. When the pre-catastrophic SILC condition is detected, the stress is removed to prevent catastrophic failure of the dielectric and its associated device. Because these techniques prevent catastrophic failure of the dielectric layer, engineers can carry out physical failure analysis of the device, which is now known to have some type of defect due to detection of the pre-catastrophic SILC condition. In this way, the techniques disclosed herein allow engineers to more quickly determine an underlying cause of a defect so that yields can be kept at optimal levels.
    Type: Grant
    Filed: March 10, 2011
    Date of Patent: September 2, 2014
    Assignee: Infineon Technologies AG
    Inventor: Martin Kerber
  • Patent number: 8822993
    Abstract: An Integrated Circuit (IC) and a method of making the same. In one embodiment, an integrated circuit includes: a substrate; a first metal layer disposed on the substrate and including a sensor structure configured to indicate a crack in a portion of the integrated circuit; and a second metal layer disposed proximate the first metal layer, the second metal layer including a wire component disposed proximate the sensor structure.
    Type: Grant
    Filed: July 17, 2012
    Date of Patent: September 2, 2014
    Assignee: International Business Machines Corporation
    Inventors: Edward C. Cooney, III, Jeffrey P. Gambino, Zhong-Xiang He, Tom C. Lee
  • Patent number: 8822241
    Abstract: Provided is a method of manufacturing a semiconductor device, which includes the steps of: (a) preparing a processing target including a wafer (21) and a protective member (24) formed on the wafer (21); (b) measuring a thickness of the protective member (24) at a plurality of points; and (c) setting a desired value of a total thickness of the wafer (21) and the protective member (24) based on measurement results at the plurality of points to grind the wafer (21) in accordance with the desired value.
    Type: Grant
    Filed: December 30, 2010
    Date of Patent: September 2, 2014
    Assignee: Mitsubishi Electric Corporation
    Inventor: Kazunari Nakata
  • Patent number: 8826221
    Abstract: An adaptive patterning method and system for fabricating panel based package structures is described. Misalignment for individual device units in a panel or reticulated wafer may be adjusted for by measuring the position of each individual device unit and forming a unit-specific pattern over each of the respective device units.
    Type: Grant
    Filed: May 13, 2013
    Date of Patent: September 2, 2014
    Assignee: DECA Technologies Inc.
    Inventors: Christopher M. Scanlan, Timothy L. Olson
  • Patent number: 8822240
    Abstract: A temperature detecting apparatus is provided which is capable of suppressing disconnection of a thermocouple wire or positional deviation of a thermocouple junction portion caused by change over time. The temperature detecting apparatus includes: an insulation rod installed to extend in a vertical direction and including a through-hole in vertical direction; a thermocouple wire inserted in the through-hole of the insulation rod, the thermocouple wire including a thermocouple junction portion at an upper end thereof and an angled portion at a lower end of the insulation rod; and a buffer area installed below the insulation rod and configured to suppress a restriction of a horizontal portion of the angled portion upon heat expansion, wherein an upper portion of the thermocouple wire or a middle portion in the vertical direction are supported by the insulation rod.
    Type: Grant
    Filed: June 28, 2012
    Date of Patent: September 2, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Tetsuya Kosugi, Masaaki Ueno, Hideto Yamaguchi
  • Publication number: 20140240704
    Abstract: According to one embodiment, a measurement mark includes: a first line pattern, first lines extending in a first direction, the first lines arranged in a second direction in the first line pattern, the first line pattern capable of forming a first moire pattern by overlapping with an arrangement pattern including a pattern, and a first polymer and a second polymer being alternately arranged in the pattern; a second line pattern, second lines extending in the first direction, the second lines being arranged in the second direction in the second line pattern, the second line pattern capable of forming a second moire pattern by overlapping with the arrangement pattern; and a reference pattern with a reference position configured to assess a first shift amount from the reference position of the first moire pattern and a second shift amount from the reference position of the second moire pattern.
    Type: Application
    Filed: July 17, 2013
    Publication date: August 28, 2014
    Inventors: Nobuhiro KOMINE, Yosuke OKAMOTO
  • Publication number: 20140242733
    Abstract: According to one embodiment, provided is a reflective mask having a substrate, a reflection layer that reflects EUV light formed above the substrate, and an absorption layer that absorbs the EUV light formed above the reflection layer. The reflective mask further includes a monitor pattern monitoring an attachment amount of contamination attached during exposure.
    Type: Application
    Filed: September 10, 2013
    Publication date: August 28, 2014
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Takashi KOIKE
  • Publication number: 20140239450
    Abstract: A guard structure for a semiconductor structure is provided. The guard structure includes a first guard ring, a second guard ring and a third guard ring. The first guard ring has a first conductivity type. The second guard ring has a second conductivity type, and surrounds the first guard ring. The third guard ring has the first conductivity type, and surrounds the second guard ring, wherein the first, the second and the third guard rings are grounded. A method of forming a guard layout pattern for a semiconductor layout pattern is also provided.
    Type: Application
    Filed: February 28, 2013
    Publication date: August 28, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Jaw-Juinn HORNG, Jen-Hao YEH, Fu-Chih YANG, Chung-Hui CHEN
  • Patent number: 8815616
    Abstract: There is provided a slit valve unit including: a body disposed on an outer side of a process chamber and having an entrance connected to an opening of the process chamber; a slit valve provided in an internal space of the body and selectively opening and closing the entrance; a plurality of packing members provided along the circumference of the entrance on an inner side of the body and tightly attached to the slit valve when the slit valve shields the entrance; and a connection pipe having one end exposed between the plurality of packing members on the inner side of the body so as to be connected to an airtight space formed among the plurality of packing members, the body, and the slit valve, and the other end exposed to the outer side of the body, the connection pipe penetrating the body.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: August 26, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang Kyu Bang, Sung Don Kwak, Choo-Ho Kim, Won Soo Ji
  • Patent number: 8815615
    Abstract: A method of forming interconnects in integrated circuits includes providing a semiconductor substrate and forming a copper interconnect structure that is formed overlying a barrier layer within a thickness of an interlayer dielectric layer. The copper interconnect structure has a first stress characteristic. The method further loads the semiconductor substrate including the copper interconnect structure into a deposition chamber that contains an inert environment. The semiconductor substrate including the copper interconnect structure is annealed in the inert environment for a period of time to cause the copper interconnect structure to have a second stress characteristic. The semiconductor substrate is maintained in the deposition chamber while an etch stop layer is deposited thereon. The method further deposits an intermetal dielectric layer overlying the etch stop layer, wherein the annealing reduces copper hillock defects resulting from at least the first stress characteristic.
    Type: Grant
    Filed: November 2, 2010
    Date of Patent: August 26, 2014
    Assignees: Semiconductor Manufacturing International (Shanghai) Corp., Semiconductor Manufacturing International (Beijing) Corp.
    Inventors: Duo Hui Bei, Ming Yuan Liu, Chun Sheng Zheng
  • Patent number: 8816715
    Abstract: A MOS test structure is disclosed. A scribe line region is disposed on a substrate which has a first side and a second side opposite to the first side. An epitaxial layer is disposed on the first side, the doping well is disposed on the epitaxial layer and the doping region is disposed on the doping well. A trench gate of a first depth is disposed in the doping region, in the doping well and in the scribe line region. A conductive material fills the test via which has a second depth and an isolation covering the inner wall of the test via and is disposed in the doping region, in the doping well, in the epitaxial layer and in the scribe line region, to electrically connect to the epitaxial layer so that the test via is capable of testing the epitaxial layer and the substrate together.
    Type: Grant
    Filed: May 12, 2011
    Date of Patent: August 26, 2014
    Assignee: Nanya Technology Corp.
    Inventors: Chin-Te Kuo, Yi-Nan Chen, Hsien-Wen Liu
  • Publication number: 20140231992
    Abstract: Various embodiments include wafer level chip scale package (WLCSP) structures and methods of tuning such structures. In some embodiments, the WLCSP structure includes: a printed circuit board (PCB) trace connection including at least one PCB ground connection connected with a PCB ground plane; a set of ground solder balls each contacting the printed circuit board trace connection; a set of chip pads contacting each of the ground solder balls in the set of ground solder balls; a chip ground plane connecting the set of chip pads; and a signal interconnect interposed between two of the set of ground solder balls, the signal interconnect including: a signal trace connection electrically isolated from the PCB ground plane; a signal ball contacting the signal PCB trace connection; a chip pad contacting the signal ball, and a signal trace connection on a chip contacting the chip pad.
    Type: Application
    Filed: February 21, 2013
    Publication date: August 21, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Hanyi Ding, Richard S. Graf, Gary R. Hill, Wayne H. Woods, JR.
  • Publication number: 20140231761
    Abstract: A display substrate and a method of manufacturing the same. The display substrate includes a substrate including an active area and an inactive area, an organic light-emitting diode (OLED) unit disposed on the active area of the substrate, and a transmittance measurement pattern unit disposed on the inactive area of the substrate. The transmittance measurement pattern unit includes a deposition assistant layer pattern disposed on the substrate.
    Type: Application
    Filed: September 3, 2013
    Publication date: August 21, 2014
    Applicant: Samsung Display Co., Ltd.
    Inventors: Seong Min Kim, Jun Ho Choi
  • Patent number: 8809073
    Abstract: A method includes providing on a substrate having at least two through substrate vias (“TSVs”) a plurality of test structures for de-embedding the measurement of the intrinsic characteristics of a device under test (DUT) including at least two of the TSVs; measuring the intrinsic characteristics [L] for a first and a second test structure on the substrate including two pads coupled with a transmission line of length L; using simultaneous solutions of ABCD matrix or T matrix form equations, and the measured intrinsic characteristics, solving for the intrinsic characteristics of the pads and the transmission lines; de-embedding the measurements of the third and fourth test structures using the intrinsic characteristics of the pads and the transmission lines; and using simultaneous solutions of ABCD matrix or T matrix form equations for BM_L and BM_LX, and the measured intrinsic characteristics, solving for the intrinsic characteristics of the TSVs.
    Type: Grant
    Filed: August 3, 2011
    Date of Patent: August 19, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsiao-Tsung Yen, Yu-Ling Lin, Chin-Wei Kuo, Victor Chih Yuan Chang, Min-Chie Jeng
  • Patent number: 8809072
    Abstract: According to a sub-resolution assist feature arranging method in embodiments, it is selected which of a rule base and a model base is set for which pattern region on pattern data corresponding to a main pattern as a type of the method of arranging the sub-resolution assist feature for improving resolution of the main pattern formed on a substrate. Then, the sub-resolution assist feature by the rule base is arranged in a pattern region set as the rule base and the sub-resolution assist feature by the model base is arranged in a pattern region set as the model base.
    Type: Grant
    Filed: March 18, 2011
    Date of Patent: August 19, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Chikaaki Kodama, Toshiya Kotani, Shigeki Nojima, Shoji Mimotogi
  • Patent number: 8809916
    Abstract: A pH sensor may include a reference electrode including a p-channel field effect transistor (FET) whose gate includes a diamond surface having a hydrogen ion insensitive terminal, and a working electrode.
    Type: Grant
    Filed: February 8, 2012
    Date of Patent: August 19, 2014
    Assignee: Yokogawa Electric Corporation
    Inventors: Yukihiro Shintani, Kazuma Takenaka
  • Patent number: 8809858
    Abstract: An integrated circuit including: a semiconductor substrate of a first conductivity type having at least one well of a second conductivity type laterally delimited, on two opposite walls, by regions of the first conductivity type, defined at its surface; at least one region of the second conductivity type which extends in the semiconductor substrate under the well; and a system for detecting a variation of the substrate resistance between each association of two adjacent regions of the first conductivity type.
    Type: Grant
    Filed: January 25, 2013
    Date of Patent: August 19, 2014
    Assignee: STMicroelectronics (Rousset) SAS
    Inventors: Mathieu Lisart, Thierry Soudé, Alexandre Sarafianos, Francesco La Rosa
  • Patent number: 8809074
    Abstract: A method provides a mechanism to examine physical properties and/or diagnose problems at a selected location of an integrated circuit. Such a method can include creating a layer of a reactive material a selected distance above and in proximity with a surface of the integrated circuit so that the reactive material can be evaluated to form chemical radicals above and in proximity to the surface of the integrated circuit. A portion of the reactive material can be excited. A portion of the surface of the integrated circuit can be removed to a selected level to evaluate an exposed electrical structure of the integrated circuit. The exposed electrical structure can be evaluated to determine a potential problem in the integrated circuit.
    Type: Grant
    Filed: July 5, 2013
    Date of Patent: August 19, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Mark J. Williamson, Gurtej S. Sandhu, Justin R. Arrington
  • Patent number: 8803127
    Abstract: In at least one embodiment, an infrared (IR) sensor comprising a thermopile is provided. The thermopile comprises a substrate and an absorber. The absorber is positioned above the substrate and a gap is formed between the absorber and the substrate. The absorber receives IR from a scene and generates an electrical output indicative of a temperature of the scene. The absorber is formed of a super lattice quantum well structure such that the absorber is thermally isolated from the substrate. In another embodiment, a method for forming an infrared (IR) detector is provided. The method comprises forming a substrate and forming an absorber with a plurality of alternating first and second layers with a super lattice quantum well structure. The method further comprises positioning the absorber about the substrate such that a gap is formed to cause the absorber to be suspended about the substrate.
    Type: Grant
    Filed: October 7, 2011
    Date of Patent: August 12, 2014
    Assignee: UD Holdings, LLC
    Inventor: David Kryskowski
  • Patent number: 8805630
    Abstract: A method for use in semiconductor fabrication is provided that includes providing manufacturing data of a semiconductor process, providing a plurality of functional transformations, optimizing each of the functional transformations based on the manufacturing data, selecting one of the functional transformations that has a least deviation with respect to the manufacturing data, predicting performance of the semiconductor process using the selected transformation function, and controlling a fabrication tool based on the predicted performance.
    Type: Grant
    Filed: August 25, 2009
    Date of Patent: August 12, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Chun-Hsien Lin
  • Patent number: 8803122
    Abstract: Phase-change memory structures are formed with ultra-thin heater liners and ultra-thin phase-change layers, thereby increasing heating capacities and lowering reset currents. Embodiments include forming a first interlayer dielectric (ILD) over a bottom electrode, removing a portion of the first ILD, forming a cell area, forming a u-shaped heater liner within the cell area, forming an interlayer dielectric structure within the u-shaped heater liner, the interlayer dielectric structure including a protruding portion extending above a top surface of the first ILD, forming a phase-change layer on side surfaces of the protruding portion and/or on the first ILD surrounding the protruding portion, and forming a dielectric spacer surrounding the protruding portion.
    Type: Grant
    Filed: July 31, 2012
    Date of Patent: August 12, 2014
    Assignee: GlobalFoundries Singapore Pte. Ltd.
    Inventors: Shyue Seng (Jason) Tan, Eng Huat Toh
  • Publication number: 20140217535
    Abstract: Conductive layer(s) in a thin film photovoltaic (TFPV) panel are divided by first scribe curves into photovoltaic cells connected in series. At least one of the layers is scribed to isolate a shunt defect in a cell from parts of that cell away from the defect. The isolation scribes can substantially follow or parallel current-flow lines established by the design of the panel. A TFPV panel can be altered by, using a controller, automatically locating a shunt defect and scribing at least one of the conductive layers along two spaced-apart second scribe curves. Each second scribe curve can intersect the two first scribe curves that bound the cell with the defect. The two second scribe curves can be on opposite sides of the defect.
    Type: Application
    Filed: February 7, 2013
    Publication date: August 7, 2014
    Applicant: Purdue Research Foundation
    Inventors: Muhammad Ashraful Alam, Sourabh Dongaonkar
  • Patent number: 8796049
    Abstract: Methods and systems to method to determine an adhesion force of an underfill material to a chip assembled in a flip-chip module are provided. A method includes forming a flip-chip module including a chip connected to a substrate with a layer of underfill material adhered to the chip and the substrate. The method also includes forming a block from the layer of underfill material. The method further includes measuring a force required to shear the block from a surface of the flip-chip module.
    Type: Grant
    Filed: July 30, 2012
    Date of Patent: August 5, 2014
    Assignee: International Business Machines Corporation
    Inventors: Maxime Cadotte, Marie-Claude Paquet, Julien Sylvestre
  • Patent number: 8796048
    Abstract: The present disclosure provides methods and structures for measurement, control, and monitoring the thickness of thin film layers formed as part of a semiconductor manufacturing process. The methods and structures presented provide the capability to measure and monitor the thickness of the thin film using trench line structures. In certain embodiments, the thin film thickness measurement system can be integrated with thin film growth and control software, providing automated process control (APC) or statistical process control (SPC) capability by measuring and monitoring the thin film thickness during manufacturing. Methods for measuring the thickness of thin films can be important to the fabrication of integrated circuits because the thickness and uniformity of the thin film can determine electrical characteristics of the transistors being fabricated.
    Type: Grant
    Filed: May 11, 2012
    Date of Patent: August 5, 2014
    Assignee: Suvolta, Inc.
    Inventors: Scott E. Thompson, Pushkar Ranade, Lance Scudder, Charles Stager
  • Patent number: 8795541
    Abstract: In a supercritical fluid method a supercritical fluid is supplied into a process chamber. The supercritical fluid is discharged from the process chamber as a supercritical fluid process proceeds. A concentration of a target material included in the supercritical fluid discharged from the process chamber is detected during the supercritical fluid process. An end point of the supercritical fluid process may be determined based on a detected concentration of the target material.
    Type: Grant
    Filed: December 8, 2011
    Date of Patent: August 5, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Jhin Cho, Kun-Tack Lee, Hyo-San Lee, Young-Hoo Kim, Jung-Won Lee, Sang-Won Bae, Jung-Min Oh
  • Patent number: 8784948
    Abstract: Apparatuses are provided for controlling flow conductance of plasma formed in a plasma processing apparatus that includes an upper electrode opposite a lower electrode to form a gap therebetween. The lower electrode is adapted to support a substrate and coupled to a RF power supply. Process gas injected into the gap is excited into the plasma state during operation. The apparatus includes a ground ring that concentrically surrounds the lower electrode and has a set of slots formed therein, and a mechanism for controlling gas flow through the slots.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: July 22, 2014
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Jerrel K. Antolik, Scott Stevenot
  • Publication number: 20140199791
    Abstract: Universal target based inspection drive metrology includes designing a plurality of universal metrology targets measurable with an inspection tool and measurable with a metrology tool, identifying a plurality of inspectable features within at least one die of a wafer using design data, disposing the plurality of universal targets within the at least one die of the wafer, each universal target being disposed at least proximate to one of the identified inspectable features, inspecting a region containing one or more of the universal targets with an inspection tool, identifying one or more anomalistic universal targets in the inspected region with an inspection tool and, responsive to the identification of one or more anomalistic universal targets in the inspected region, performing one or more metrology processes on the one or more anomalistic universal metrology targets with the metrology tool.
    Type: Application
    Filed: November 18, 2013
    Publication date: July 17, 2014
    Applicant: KLA-Tencor Corporation
    Inventors: Allen Park, Ellis Chang, Michael Adel, Kris Bhaskar, Ady Levy, Amir Widmann, Mark Wagner, Songnian Rong
  • Publication number: 20140197516
    Abstract: An integrated circuit device includes a substrate including a first region and a second region. A pit is formed in the first region. A stack of active layers alternating with insulating layers is deposited in the pit. The stack includes a particular insulating layer. The particular insulating layer has a first thickness, where a sum of the first thickness, thickness of active layers, and thicknesses of other insulating layers is essentially equal to a depth of the pit. The first thickness is different than the thicknesses of the other insulating layers by an amount within a range of process variations for the depth of the pit, for the thicknesses of the active layers, and for the thicknesses of other insulating layers. The device includes a planarized surface over the first and second regions, where an uppermost one of the active layers has a top surface below the planarized surface.
    Type: Application
    Filed: January 11, 2013
    Publication date: July 17, 2014
    Inventors: Hang-Ting Lue, Yi-Hsuan Hsiao, Shih-Hung Chen, Yen-Hao Shih
  • Patent number: 8781773
    Abstract: Methods, systems, computer-program products and program-storage devices for determining whether or not to perform an action based at least partly on an estimated maximum test-range. One method comprises: attaining results generated from a parametric test on semiconductor devices included in a control set; selecting from the semiconductor devices at least one extreme subset including at least one of a high-scoring subset and a low-scoring subset; plotting at least results of the at least one extreme subset; fitting a plurality of curves to a plurality of subsets of the results; extending the curves to the zero-probability axis for the low-scoring subset or the one-probability axis for the high-scoring subset to define a corresponding plurality of intersection points; defining an estimated maximum test range based on at least one of the intersection points; and determining whether or not to perform an action based at least partly on the estimated maximum test range.
    Type: Grant
    Filed: June 21, 2011
    Date of Patent: July 15, 2014
    Assignee: Optimal Plus Ltd
    Inventors: Leonid Gurov, Alexander Chufarovsky, Gil Balog, Reed Linde
  • Patent number: 8778703
    Abstract: An extremely non-degenerate two photon absorption (END-2PA) method and apparatus provide for irradiating a semiconductor material substrate simultaneously with two photons each of different energy less than a bandgap energy of the semiconductor material substrate but in an aggregate greater than the bandgap energy of the semiconductor material substrate. A ratio of a higher energy photon energy to a lower energy photon energy is at least about 3.0. Alternatively, or as an adjunct, the higher energy photon has an energy at least about 75% of the bandgap energy and the lower energy photon has an energy no greater than about 25% of the bandgap energy.
    Type: Grant
    Filed: November 19, 2012
    Date of Patent: July 15, 2014
    Assignee: University of Central Florida Research Foundation, Inc.
    Inventors: Eric Van Stryland, David J. Hagan
  • Publication number: 20140191374
    Abstract: Methods for determining a target thickness of a conformal film with reduced uncertainty, and an integrated circuit (IC) chip having a conformal film of the target thickness are provided. In an embodiment, a first critical dimension of a structure disposed on a wafer is measured. Said structure has at least one vertical surface. A first conformal film is deposited over the structure covering each of a horizontal and the vertical surface of the structure. A second critical dimension of the covered structure is then measured. The target thickness of the conformal film is determined based on difference between the first CD measured on the structure and the second CD measured on the covered structure.
    Type: Application
    Filed: January 10, 2013
    Publication date: July 10, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Carlos Strocchia-Rivera
  • Patent number: 8772179
    Abstract: According to one embodiment, a pattern forming method using a template containing a pattern that has at least one recess section or protrusion section to transfer the shape of the pattern to a resin layer on a substrate, is provided. The method includes a process for coating the resin on the substrate, a process for making the hardness of the first portion as a portion of the resin higher than the hardness of the second portion as the portion other than the first portion, and a process in which the portion other than the pattern of the template makes contact with the first portion, in a state where a gap is maintained between the template and the resin, the shape of the pattern is transferred to the second portion, and the resin is cured. Embodiments of an apparatus for pattern forming are also provided.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: July 8, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazuya Fukuhara, Masayuki Hatano
  • Patent number: 8771535
    Abstract: A sample contamination method according to an embodiment includes spraying a chemical solution containing contaminants into a casing, carrying a semiconductor substrate into the casing filled with the chemical solution by the spraying, leaving the semiconductor substrate in the casing filled with the chemical solution for a predetermined time, and carrying the semiconductor substrate out of the casing after the predetermined time passes.
    Type: Grant
    Filed: July 22, 2011
    Date of Patent: July 8, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yuji Yamada, Makiko Katano, Ayako Mizuno, Eri Uemura, Asuka Uchinuno, Chikashi Takeuchi
  • Publication number: 20140186976
    Abstract: The present disclosure discloses a method of manufacturing a light-emitting device comprising the steps of providing a light-emitting wafer having a semiconductor stacked structure and an alignment mark, sensing the alignment mark, and separating the light-emitting wafer into a plurality of light-emitting diodes and removing the alignment mark accordingly.
    Type: Application
    Filed: December 24, 2013
    Publication date: July 3, 2014
    Applicant: EPISTAR CORPORATION
    Inventor: Tsung-Hsien YANG
  • Publication number: 20140186977
    Abstract: A method for calculating a warpage of a bonded SOI wafer includes: assuming that the epitaxial growth SOI wafer is a silicon single crystal wafer having the same dopant concentration as dopant concentration of the bond wafer; calculating a warpage A that occurs at the time of performing the epitaxial growth relative to the assumed silicon single crystal wafer; calculating a warpage B caused due to a thickness of the BOX layer of the epitaxial growth SOI wafer; determining a measured value of a warpage of the base wafer before bonding as a warpage C; and calculating a sum of the warpages (A+B+C) as the warpage of the bonded SOI wafer.
    Type: Application
    Filed: August 21, 2012
    Publication date: July 3, 2014
    Applicant: SHIN-ETSU HANDOTAI CO., LTD.
    Inventors: Isao Yokokawa, Hiroji Aga, Yasushi Mizusawa
  • Publication number: 20140183659
    Abstract: A multiple-patterned semiconductor device and a method of manufacture are provided. The semiconductor device includes one or more layers with signal tracks. The signal tracks have a quality characteristic. The semiconductor device also includes repeater banks to repower signals. The method of manufacture includes defining portions of layers with photomasks having signal track patterns, determining a quality characteristic of the signal track patterns, and selecting a photomask for etching vias.
    Type: Application
    Filed: January 2, 2013
    Publication date: July 3, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: INTERNATIONAL BUSINESS MACHINES CORPORATION
  • Patent number: 8765497
    Abstract: A method includes placing a plurality of bottom units onto a jig, wherein the plurality of bottom units is not sawed apart and forms an integrated component. Each of the plurality of bottom units includes a package substrate and a die bonded to the package substrate. A plurality of upper component stacks is placed onto the plurality of bottom units, wherein solder balls are located between the plurality of upper component and the plurality of bottom units. A reflow is performed to join the plurality of upper component stacks with respective ones of the plurality of bottom units through the solder balls.
    Type: Grant
    Filed: September 2, 2011
    Date of Patent: July 1, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hao-Juin Liu, Chita Chuang, Ching-Wen Hsiao, Chen-Shien Chen, Chen-Cheng Kuo, Chih-Hua Chen
  • Patent number: 8765492
    Abstract: This method of manufacturing a silicon wafer has a step of preparing a wafer, in which a surface of the silicon wafer is surface-treated, a step of setting stress, in which the stress S (MPa) subjected on the wafer is set, a step of inspecting, in which a defect on a surface of the wafer is inspected, and a step of determining, in which the wafer is evaluated if the wafer satisfies a criterion. In this method, it is possible to manufacture a wafer with cracking resistance even if it is subjected to a millisecond annealing by the FLA annealing treatment.
    Type: Grant
    Filed: March 24, 2010
    Date of Patent: July 1, 2014
    Assignee: Sumco Corporation
    Inventors: Toshiaki Ono, Takayuki Kihara, Yumi Hoshino
  • Patent number: 8766658
    Abstract: A probe includes a contact member brought into contact with an object to be tested. Contact particles having conductivity are uniformly distributed in the contact member. A part of the contact particles protrude from a surface of the contact member on the side of the object to be tested. A conductive member having elasticity is placed on a surface of the contact member on the opposite side to the object to be tested. The probe further includes an insulating sheet including a through hole and the contact member is so positioned as to penetrate the through hole. An upper part of the contact member is formed of a conductor which does not include the contact particles. An additional conductor is placed on a surface of the conductor on the side opposite to the object to be tested.
    Type: Grant
    Filed: April 1, 2009
    Date of Patent: July 1, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Shigekazu Komatsu
  • Patent number: 8766259
    Abstract: A semiconductor structure including a test structure for detection of a gap in a conductive layer of the semiconductor structure includes a semiconductor substrate; the test structure, the test structure being located on the semiconductor substrate, the test structure comprising a multilayer gate stack, wherein the multilayer gate stack includes a single conductive layer region including: a gate dielectric located on the semiconductor substrate; the conductive layer located on the gate dielectric; and an undoped amorphous silicon layer located on the conductive layer; and wherein the test structure is configured to detect the presence of the gap in the conductive layer.
    Type: Grant
    Filed: February 1, 2013
    Date of Patent: July 1, 2014
    Assignee: International Business Machines Corporation
    Inventors: Renee T. Mo, Oliver D. Patterson, Xing Zhou