With Measuring Or Testing Patents (Class 438/14)
  • Patent number: 9012245
    Abstract: In the disclosed methods, integrated circuit (IC) dice are manufactured from a common specification, and the IC dice are tested for defective circuitry. Respective defect sets are generated to indicate defective circuitry in the IC die. The dice are assigned to bins based on the respective defect sets. For each bin, all IC dice assigned to the bin have equivalent respective defect sets. Product definitions are provided, and each product definition indicates a respective set of circuitry required for a corresponding product. Respective sets of packages are manufactured for each product. In the manufacturing of each package of a respective set of packages for each product, one or more IC dice are selected from a subset of the plurality of bins such that the IC dice have respective defect sets allowed by the product definition of the product. The selected IC dice are then manufactured into the package.
    Type: Grant
    Filed: September 22, 2014
    Date of Patent: April 21, 2015
    Assignee: Xilinx, Inc.
    Inventors: Matthew H. Klein, Robert W. Wells, Jongheon Jeong
  • Publication number: 20150104888
    Abstract: The present invention relates, in general, to an apparatus for determining the presence of abnormality of a heater for a semiconductor thin film deposition apparatus, such as an aluminum or ceramic heater and, more particularly, to a technique for monitoring a phenomenon occurring in an apparatus during a semiconductor thin film deposition process and a phenomenon occurring in a heater, thereby determining the presence of abnormality of the heater. The present invention also relates to a technique for measuring, in real time, a thickness of a thin film deposited by driving of a heater during a thin film deposition process in a chamber, thereby determining the presence of abnormality of a wafer and the presence of abnormality of the heater, based on the measurement result.
    Type: Application
    Filed: October 10, 2014
    Publication date: April 16, 2015
    Inventor: Do Hyeong LEE
  • Patent number: 9006001
    Abstract: Dimensions of structures in integrated circuits are shrinking with each new fabrication technology generation. Maintaining control of profiles of structures in transistors and interconnects is becoming more important to sustaining profitable integrated circuit production facilities. Measuring profiles of structures with many elements in integrated circuits, such as MOS transistor gates with recessed regions for Si—Ge epitaxial layers, is not cost effective for the commonly used metrology techniques: SEM, TEM and AFM. Scatterometry is technically unfeasible due to the number of elements and optical constants. The instant invention is a simplified scatterometry structure which reproduces the profiles of a structure to be profiled in a simpler structure that is compatible with conventional scatterometric techniques. A method of fabricating a transistor and an integrated circuit using the inventive simplified scatterometry structure are also disclosed.
    Type: Grant
    Filed: March 14, 2008
    Date of Patent: April 14, 2015
    Assignee: Texas Instruments Incorporated
    Inventors: Vladimir Alexeevich Ukraintsev, Craig Lawrence Hall
  • Patent number: 9006739
    Abstract: A method of testing an integrated circuit (IC) chip and a related test structure are disclosed. A test structure includes a monitor chain proximate to at least one solder bump pad, the monitor chain including at least one metal via stack, each metal via stack extending from a lower metal layer in the IC chip to an upper metal layer in the IC chip, such that the monitor chain forms a continuous circuit proximate to the at least one solder bump pad, and where each metal via stack is positioned substantially under the solder bump. A method for testing to detect boundaries of safe effective modulus includes performing a stress test on an IC chip containing the test structure joined to a semiconductor package.
    Type: Grant
    Filed: April 17, 2012
    Date of Patent: April 14, 2015
    Assignee: International Business Machines Corporation
    Inventors: James V. Crain, Jr., Mark C. H. Lamorey, Christopher D. Muzzy, Thomas M. Shaw, David B. Stone
  • Patent number: 9006003
    Abstract: A method of detecting bitmap failure associated with physical coordinates is provided. In the method, data of wafer mapping inspection are obtained first, and the data include images of defects in each of layers within a wafer and a plurality of physical coordinates of the defects. Thereafter, a bitmap failure detection is performed to obtain digital coordinates of failure bits within the wafer. The digital coordinates are converted into a plurality of physical locations, and the physical locations are overlapped with the physical coordinates so as to rapidly obtain correlations between the failure bits and the defects.
    Type: Grant
    Filed: March 20, 2014
    Date of Patent: April 14, 2015
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Tuung Luoh, Chi-Min Chen, Ling-Wuu Yang, Ta-Hone Yang, Kuang-Chao Chen
  • Patent number: 9006002
    Abstract: The length of the polycrystalline silicon rod (100) is measured with a tape measure, then the polycrystalline silicon rod (100) is hit with a hammer (120), and this hammering sound is recorded in a recorder (140) through a microphone (130). Then, an acoustic signal of the hammering sound is subjected to a fast Fourier transform and a frequency distribution is displayed. Furthermore, a peak frequency f is detected which shows the largest sound volume in the frequency distribution obtained after the fast Fourier transform. The relationship between the length (L) of the polycrystalline silicon rod and the peak frequency f is obtained, and the firmness of the polycrystalline silicon rod is determined on the basis of whether or not the peak frequency f is in a range of f?1,471/L (region A).
    Type: Grant
    Filed: July 4, 2011
    Date of Patent: April 14, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Shigeyoshi Netsu, Fumitaka Kume, Junichi Okada
  • Patent number: 9000446
    Abstract: Herein, an improved technique for processing a substrate is disclosed. In one particular exemplary embodiment, the technique may be realized with a system for processing one or more substrates. The system may comprise an ion source for generating ions of desired species, the ions generated from the ion source being directed toward the one or more substrates along an ion beam path; a substrate support for supporting the one or more substrates; a mask disposed between the ion source and the substrate support, the mask comprising a finger defining one or more apertures through which a portion of the ions traveling along the ion beam path pass; and a first detector for detecting ions, the first detector being fixedly positioned relative to the one or more substrates.
    Type: Grant
    Filed: May 20, 2010
    Date of Patent: April 7, 2015
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Benjamin B. Riordon, Kevin M. Daniels, William T. Weaver, Steven M. Anella
  • Patent number: 9002497
    Abstract: Methods and systems for inspection of wafers and reticles using designer intent data are provided. One computer-implemented method includes identifying nuisance defects on a wafer based on inspection data produced by inspection of a reticle, which is used to form a pattern on the wafer prior to inspection of the wafer. Another computer-implemented method includes detecting defects on a wafer by analyzing data generated by inspection of the wafer in combination with data representative of a reticle, which includes designations identifying different types of portions of the reticle. An additional computer-implemented method includes determining a property of a manufacturing process used to process a wafer based on defects that alter a characteristic of a device formed on the wafer. Further computer-implemented methods include altering or simulating one or more characteristics of a design of an integrated circuit based on data generated by inspection of a wafer.
    Type: Grant
    Filed: July 1, 2004
    Date of Patent: April 7, 2015
    Assignee: KLA-Tencor Technologies Corp.
    Inventors: William Volk, James Wiley, Sterling Watson, Sagar A. Kekare, Carl Hess, Paul Frank Marella, Sharon McCauley, Ellis Chang
  • Patent number: 8997822
    Abstract: According to an embodiment of the present disclosure, a substrate inverting device for inverting front and rear surfaces of a substrate is provided. The substrate includes a first holding unit configured to hold one surface of the substrate and a second holding unit disposed to face the first holding unit and configured to hold one surface of the substrate. Further, the substrate includes a moving mechanism configured to relatively move at least one of the first holding unit and the second holding unit to approach each other and stay spaced apart from each other, and a transfer mechanism configured to hold the one surface of the substrate. In this case, a support of the substrate in the first holding unit, the second holding unit and the transfer mechanism is performed by a Bernoulli chuck.
    Type: Grant
    Filed: January 12, 2012
    Date of Patent: April 7, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Yasuharu Iwashita, Osamu Hirakawa, Masaru Honda, Akira Fukutomi
  • Patent number: 9000434
    Abstract: A semiconductor device including a semiconductor substrate having a surface including an active semiconductor device including one of a laser and a photodiode; and a visual indicator disposed on the semiconductor body and at least adjacent to a portion of said active semiconductor device, the indicator having a state that shows if damage to the active semiconductor device may have occurred.
    Type: Grant
    Filed: December 10, 2012
    Date of Patent: April 7, 2015
    Assignee: Emcore Corporation
    Inventors: Richard Carson, Elaine Taylor, Douglas Collins
  • Patent number: 8992079
    Abstract: A temperature measurement apparatus for estimating a temperature profile in a process container, includes a radiation temperature measurement unit configured to measure the temperature of plural temperature measurement areas at a surface of the rotating table in a radius direction of the rotating table by scanning the surface of the rotating table in the radius direction; an operation control unit that controls to start heating of the process container by a heater while keeping the rotating table immobilized, and controls to repeat a scanning operation, in which the radiation temperature measurement unit scans the surface of the rotating table in the radius direction to obtain the temperature of the plural temperature measurement areas while the rotating table is rotated in a circumferential direction of the rotating table, after a predetermined period has passed from starting the heating of the process container.
    Type: Grant
    Filed: May 23, 2012
    Date of Patent: March 31, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Masayuki Moroi, Hitoshi Kikuchi, Masato Koakutsu
  • Publication number: 20150087085
    Abstract: An apparatus and a method for determining the temperature of a substrate, in particular of a semiconductor substrate during the heating thereof by means of at least one first radiation source are disclosed. A determination of the temperature is based on detecting first and second radiations, each comprising radiation emitted by the substrate due to its own temperature and radiation emitted by the first radiation, which is reflected at the substrate and at least one of a drive power of the first radiation source and the radiation intensity of the first radiation source.
    Type: Application
    Filed: March 15, 2013
    Publication date: March 26, 2015
    Inventors: Hartmut Rick, Wilfried Lerch, Jürgen Niess
  • Publication number: 20150087086
    Abstract: A method for producing an image pickup apparatus includes: a process of fabricating a plurality of image pickup chips by cutting an image pickup chip substrate where light receiving sections and electrode pads are formed; a process of fabricating a joined wafer by bonding the image pickup chips to a glass wafer; a process of filling a gap between the plurality of image pickup chips with a sealing member; a process of machining the joined wafer to reduce a thickness; a process of forming through-hole vias; a process of forming an insulating layer that covers the image pickup chips; a process of forming through-hole interconnections; a process of forming external connection electrodes, each of which is connected to each of the through-hole interconnections; and a process of cutting the joined wafer.
    Type: Application
    Filed: December 1, 2014
    Publication date: March 26, 2015
    Applicant: OLYMPUS CORPORATION
    Inventors: Kazuhiro YOSHIDA, Noriyuki Fujimori, Takatoshi IGARASHI
  • Patent number: 8987010
    Abstract: Systems and methods are provided for developing usable chip images in order to detect and screen defects or anomalies in a manufacturing environment. More specifically, a method is provided for manufacturing at least one wafer or chip. The method includes obtaining image data of the at least one wafer or chip. The method further includes correcting the image data to remove normal variation within the image data. The method further includes comparing the corrected image data to image data for at least one other wafer or chip to determine whether the corrected image data for the at least one wafer or chip shows a defect or anomaly beyond that of the normal variation. The method further includes placing the at least one wafer or chip into a category of fabrication based on the comparison.
    Type: Grant
    Filed: August 29, 2013
    Date of Patent: March 24, 2015
    Assignee: International Business Machines Corporation
    Inventors: Stephen P. Ayotte, Nicholas G. Clore, Andrew H. Norfleet, Jared P. Yanofsky
  • Patent number: 8987008
    Abstract: The present disclosure provides one embodiment of a method for an integrated circuit (IC). The method includes forming a mandrel pattern on a substrate by a first lithography process; forming a first spacer pattern on sidewalls of the mandrel pattern; removing the mandrel pattern; forming a second spacer pattern on sidewalls of the first spacer pattern; removing the first spacer pattern; and etching the substrate using the second spacer pattern as an etch mask.
    Type: Grant
    Filed: August 20, 2013
    Date of Patent: March 24, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Feng Shieh, Ru-Gun Liu, Hung-Chang Hsieh, Tsai-Sheng Gau, Yao-Ching Ku
  • Patent number: 8987843
    Abstract: A method and system to map density and temperature of a chip, in situ, is disclosed. The method includes measuring a propagation time that a mechanical propagation wave travels along at least one predefined path in a substrate. The method further includes calculating an average substrate density and temperature along the at least one predefined path as a function of the propagation time and distance. The method further includes determining a defect or unauthorized modification in the substrate based on the average substrate density being different than a baseline substrate density.
    Type: Grant
    Filed: November 6, 2012
    Date of Patent: March 24, 2015
    Assignee: International Business Machines Corporation
    Inventors: Jerome L. Cann, David P. Vallett
  • Publication number: 20150076498
    Abstract: A method for forming an integrated circuit having a test macro using a multiple patterning lithography process (MPLP) is provided. The method includes forming an active area of the test macro having a first and second gate region during a first step of MPLP, and forming a first and second source/drain regions in the active area during a second step of the MPLP. The method also includes forming a first contact connected to the first gate region, a second contact connected to the second gate region, a third contact connected to the first source/drain region, and a forth contact connected to the source/drain region and determining if an overlay shift occurred between the first step and the second step of the step of the MPLP by testing for a short between one or more of the first contact, the second contact, the third contact, or the fourth contact.
    Type: Application
    Filed: September 13, 2013
    Publication date: March 19, 2015
    Applicants: Global Foundries, Inc., International Business Machines Corporation
    Inventors: Tenko Yamashita, Chun-Chen Yeh, Jin Cho, Hui Zang
  • Publication number: 20150079701
    Abstract: A manufacturing apparatus includes a chuck for contacting a peripheral portion of a workpiece. The apparatus includes a nozzle to eject a process fluid (liquid or gas) toward a first surface while the workpiece is in contact with the chuck. The apparatus also includes a plate having an opening configured such that a support fluid (liquid or gas) can be ejected toward a second surface of the workpiece while the workpiece is in contact with the chuck. In an example, the support fluid can be used to counteract a displacement of the interior portion in the direction perpendicular to the plane of the workpiece due to, for example, gravity and/or hydrostatic pressure of the process fluid.
    Type: Application
    Filed: February 28, 2014
    Publication date: March 19, 2015
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Daisuke YAMASHITA
  • Publication number: 20150069421
    Abstract: A method for wafer alignment includes forming a first alignment circuit within a first semiconductor wafer; the first alignment circuit is configured to emit an optical signal. Next, the first alignment circuit is activated upon receiving a first activation signal from a wafer bonding tool then the optical signal is sent to a second alignment circuit in a second semiconductor wafer in overlapping relation to the first semiconductor wafer. The second alignment circuit transmits a second activation signal to the wafer bonding tool and consequently the wafer bonding tool initiates an alignment technique between the first and second semiconductor wafers. The alignment technique uses the first and second alignment circuits for optical alignment.
    Type: Application
    Filed: September 12, 2013
    Publication date: March 12, 2015
    Applicant: International Business Machines Corporation
    Inventors: Mukta G. Farooq, John A. Fitzsimmons, Spyridon Skordas
  • Publication number: 20150069394
    Abstract: A device includes a semiconductor chip. An outline of a frontside of the semiconductor chip includes at least one of a polygonal line including two line segments joined together at an inner angle of greater than 90° and an arc-shaped line.
    Type: Application
    Filed: September 6, 2013
    Publication date: March 12, 2015
    Inventors: Markus Zundel, Thomas Ostermann
  • Publication number: 20150068584
    Abstract: A photovoltaic system is described herein. The photovoltaic system includes an array of micro-concentrators. Each micro-concentrator includes an exterior lens, an interior lens, and a transparent layer that is between the exterior lens and the interior lens. The array of micro-concentrators is optically aligned with an array of photovoltaic cells.
    Type: Application
    Filed: September 8, 2014
    Publication date: March 12, 2015
    Inventors: William C. Sweatt, Bradley Howell Jared, Michael P. Saavedra, Benjamin John Anderson, Ronald S. Goeke, Gregory N. Nielson, Murat Okandan, Brenton Elisberg
  • Publication number: 20150069340
    Abstract: An OLED display including a substrate having a pixel area where an organic light emitting diode is formed, and a peripheral area surrounding the pixel area. Monitoring patterns are disposed in the peripheral area and are separated from each other.
    Type: Application
    Filed: April 8, 2014
    Publication date: March 12, 2015
    Applicant: Samsung Display Co., Ltd.
    Inventor: Kyoung-Wook MIN
  • Patent number: 8975096
    Abstract: A jig includes a wafer including an accommodation groove configured to accommodate a capacitive micromachined ultrasonic transducer (cMUT) when flip chip bonding is performed, and a separation groove formed in a bottom surface of the accommodation groove, the separation groove having a bottom surface that is spaced apart from thin films of the cMUT that face the bottom surface of the separation groove when the cMUT is seated on portions of the bottom surface of the accommodation groove.
    Type: Grant
    Filed: June 25, 2013
    Date of Patent: March 10, 2015
    Assignees: Samsung Electronics Co., Ltd., Kyungpook National University Industry-Academic Cooperation Foundation
    Inventors: Young Il Kim, Bae Hyung Kim, Jong Keun Song, Seung Heun Lee, Kyung Il Cho, Yong Rae Roh, Won Seok Lee
  • Patent number: 8975165
    Abstract: Embodiments relate to semiconductor structures and methods of forming them. In some embodiments, the methods may be used to fabricate semiconductor structures of III-V materials, such as InGaN. An In-III-V semiconductor layer is grown with an Indium concentration above a saturation regime by adjusting growth conditions such as a temperature of a growth surface to create a super-saturation regime wherein the In-III-V semiconductor layer will grow with a diminished density of V-pits relative to the saturation regime.
    Type: Grant
    Filed: February 17, 2011
    Date of Patent: March 10, 2015
    Assignee: Soitec
    Inventors: Christophe Figuet, Ed Lindow, Pierre Tomasini
  • Patent number: 8975094
    Abstract: A test structure and method are provided to facilitate developing or optimizing a fabrication process by determining values of one or more lithography process parameters for use in semiconductor device fabrication. The test structure is configured to facilitate determining values of the one or more fabrication process parameters, and includes a plurality of test structure components arranged on a substrate according to a test pattern. The test pattern may be based on: varying distances between the test structure components according to a first rule; varying distances between centers of the test structure components according to a second rule; and/or varying at least one dimension of the test structure components according to a third rule. The method may further include determining dimensions of one or more components of the test structure using, for example, scatterometry, and using the dimensions of the components to ascertain one or more fabrication process parameters.
    Type: Grant
    Filed: January 21, 2013
    Date of Patent: March 10, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Abner F. Bello, Shubhankar Basu
  • Patent number: 8975093
    Abstract: The instant disclosure relates to a device and method for recrystallising a silicon wafer or a wafer comprising at least one silicon layer. The silicon wafer or the at least one silicon layer of the wafer is totally molten.
    Type: Grant
    Filed: July 22, 2010
    Date of Patent: March 10, 2015
    Assignee: S'Tile
    Inventor: Alain Straboni
  • Patent number: 8975095
    Abstract: A technique is provided for base recognition in an integrated device is provided. A target molecule is driven into a nanopore of the integrated device. The integrated device includes a nanowire separated into a left nanowire part and a right nanowire part to form a nanogap in between, a source pad connected to the right nanowire part, a drain pad connected to the left nanowire part, and the nanopore. The source pad, the drain pad, the right nanowire part, the left nanowire part, and the nanogap together form a transistor. The nanogap is part of the nanopore. A transistor current is measured while a single base of the target molecule is in the nanogap of the nanopore, and the single base affects the transistor current. An identity of the single base is determined according to a change in the transistor current.
    Type: Grant
    Filed: May 29, 2013
    Date of Patent: March 10, 2015
    Assignee: International Business Machines Corporation
    Inventors: Shu-Jen Han, Ajay K. Royyuru, Gustavo A. Stolovitzky, Deqiang Wang
  • Publication number: 20150064812
    Abstract: A method for the manufacture of a semiconductor device is provided, including the steps of providing a semiconductor substrate including a first area separated from a second area by a first isolation region, wherein the second area includes an intermediate transistor comprising a gate electrode, forming an oxide layer over the first and second areas, forming an organic planarization layer (OPL) over the oxide layer, forming a mask layer over the OPL in the first area without covering the OPL in the second area, and etching the OPL with the mask layer being present to expose the oxide layer over the gate electrode of the transistor.
    Type: Application
    Filed: August 28, 2013
    Publication date: March 5, 2015
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Joachim Patzer, Ardechir Pakfar, Dominic Thurmer, Chih-Chun Wang, Remi Riviere, Robert Melzer, Bastian Haussdoerfer, Martin Weisheit
  • Patent number: 8969102
    Abstract: A method of testing a device includes setting a potential of a cap terminal of the device to a first voltage, setting a potential of a self test plate of the device to a testing voltage, and detecting a first displacement of a proof mass of the device when the cap terminal is set to the first voltage and the self test plate is set to the testing voltage. The method includes setting a potential of the cap terminal of the device to a second voltage, detecting a second displacement of the proof mass of the device when the cap terminal is set to the second voltage and the self test plate is set to the testing voltage, and comparing the first displacement and the second displacement to evaluate an electrical connection between the cap terminal and a cap of the device.
    Type: Grant
    Filed: May 3, 2013
    Date of Patent: March 3, 2015
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Peter S. Schultz
  • Patent number: 8967860
    Abstract: Embodiments of the present invention generally relate to methods and apparatus for measuring, calibrating, and controlling substrate temperature during low temperature and high temperature processing.
    Type: Grant
    Filed: July 25, 2011
    Date of Patent: March 3, 2015
    Assignee: Applied Materials, Inc.
    Inventor: Kailash Kiran Patalay
  • Publication number: 20150054166
    Abstract: A semiconductor arrangement includes a plurality of chip assemblies, each of which includes a semiconductor chip having a semiconductor body with a top side and an underside, a top main electrode arranged on the top side, a bottom main electrode arranged on the underside, an electrically conductive top compensation lamina arranged on a side of the top main electrode facing away from the semiconductor body and cohesively and electrically conductively connected to the top main electrode, an electrically conductive bottom compensation lamina arranged on a side of the bottom main electrode facing away from the semiconductor body and cohesively and electrically conductively connected to the bottom main electrode, and a dielectric embedding compound enclosing the semiconductor chip laterally circumferentially in a ring-shaped fashion such that the side of the compensation laminae facing away from the semiconductor body are at least not completely covered by the embedding compound.
    Type: Application
    Filed: August 19, 2014
    Publication date: February 26, 2015
    Inventors: Gottfried Beer, Edward Fuergut, Juergen Hoegerl, Olaf Hohlfeld, Peter Kanschat
  • Publication number: 20150056724
    Abstract: The present disclosure provides one embodiment of a method for an integrated circuit (IC). The method includes forming a mandrel pattern on a substrate by a first lithography process; forming a first spacer pattern on sidewalls of the mandrel pattern; removing the mandrel pattern; forming a second spacer pattern on sidewalls of the first spacer pattern; removing the first spacer pattern; and etching the substrate using the second spacer pattern as an etch mask.
    Type: Application
    Filed: August 20, 2013
    Publication date: February 26, 2015
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Feng Shieh, Ru-Gun Liu, Hung-Chang Hsieh, Tsai-Sheng Gau, Yao-Ching Ku
  • Patent number: 8963182
    Abstract: A light emitting assembly comprising a solid state device coupleable with a power supply constructed and arranged to power the solid state device to emit from the solid state device a first, relatively shorter wavelength radiation, and a down-converting luminophoric medium arranged in receiving relationship to said first, relatively shorter wavelength radiation, and which in exposure to said first, relatively shorter wavelength radiation, is excited to responsively emit second, relatively longer wavelength radiation. In a specific embodiment, monochromatic blue or UV light output from a light-emitting diode is down-converted to white light by packaging the diode with fluorescent organic and/or inorganic fluorescers and phosphors in a polymeric matrix.
    Type: Grant
    Filed: January 8, 2013
    Date of Patent: February 24, 2015
    Assignee: Cree, Inc.
    Inventors: Bruce H. Baretz, Michael A. Tischler
  • Patent number: 8962351
    Abstract: The present invention may include a first dopant metrology system configured to measure a first plurality of values of at least one parameter of a wafer, an ion implanter configured to implant a plurality of ions into the wafer, a second dopant metrology system configured to measure a second plurality of values of at least one parameter of the wafer following ion implantation of the wafer by the implanter, wherein the first dopant metrology system and the second dopant metrology system are communicatively coupled, an annealer configured to anneal the wafer following ion implantation, and a third dopant metrology system configured to measure a third plurality of values of at least one parameter of the wafer following annealing of the wafer by the annealer, wherein the second dopant metrology system and the third dopant metrology system are communicatively coupled.
    Type: Grant
    Filed: September 9, 2013
    Date of Patent: February 24, 2015
    Assignee: KLA-Tencor Corporation
    Inventors: Alex Salnik, Bin-Ming Benjamin Tsai, Lena Nicolaides
  • Patent number: 8962352
    Abstract: A method for calculating a warpage of a bonded SOI wafer includes: assuming that the epitaxial growth SOI wafer is a silicon single crystal wafer having the same dopant concentration as dopant concentration of the bond wafer; calculating a warpage A that occurs at the time of performing the epitaxial growth relative to the assumed silicon single crystal wafer; calculating a warpage B caused due to a thickness of the BOX layer of the epitaxial growth SOI wafer; determining a measured value of a warpage of the base wafer before bonding as a warpage C; and calculating a sum of the warpages (A+B+C) as the warpage of the bonded SOI wafer.
    Type: Grant
    Filed: August 21, 2012
    Date of Patent: February 24, 2015
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Isao Yokokawa, Hiroji Aga, Yasushi Mizusawa
  • Patent number: 8962405
    Abstract: In some aspects of the invention, a circuit pattern of a front surface structure is formed in a front surface of a semiconductor wafer and an alignment mark is formed on the front surface of a semiconductor wafer. A transparent supporting substrate is attached to the front surface of the semiconductor wafer by a transparent adhesive. Then, a resist is applied onto a rear surface of the semiconductor wafer. Then, the semiconductor wafer is mounted on a stage of an exposure apparatus, with the supporting substrate down. Then, the alignment mark formed on the front surface of the semiconductor wafer is recognized by a camera, and the positions of the semiconductor wafer and a photomask are aligned with each other. Then, the resist is patterned. Then, a circuit pattern is formed in the rear surface of the semiconductor wafer.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: February 24, 2015
    Assignee: Fuji Electric Co., Ltd.
    Inventors: Tsunehiro Nakajima, Haruo Nakazawa
  • Patent number: 8965550
    Abstract: A wafer fabrication outcome, such as wafer yield or wafer lifetime, is predicted by excluding uncontrollable but measurable internal/external noises of a DOE system, and by rendering relations between wafer design variables and wafer outcome outputs to be more causal, as well as the relations between variances for each of the wafer design variables and the wafer outcome outputs. With the aid of a wafer fabrication outcome predicting model formed by the more causal relations, precision of predicting wafer outcomes can be raised, and performance of wafer fabrication can be thus raised as a result.
    Type: Grant
    Filed: November 1, 2011
    Date of Patent: February 24, 2015
    Assignee: United Microelectronics Corp.
    Inventors: Hsin-Ming Hou, Ji-Fu Kung
  • Publication number: 20150048525
    Abstract: Cut spacer reference marks, targets having such cut spacer reference marks, and methods of making the same by forming spacer gratings around grating lines on a first layer, and fabricating an angled template mask that extends across and resides at an angle with respect to such spacer gratings. Angled, cut spacer gratings are etched into a second layer using the angled template mask to superimpose at least a portion of the spacer gratings of the first layer into the second layer.
    Type: Application
    Filed: August 15, 2013
    Publication date: February 19, 2015
    Applicant: International Business Machines Corporation
    Inventors: Christopher P. Ausschnitt, Nelson M. Felix, Scott D. Halle
  • Publication number: 20150050755
    Abstract: Cut spacer reference marks, targets having such cut spacer reference marks, and methods of making the same by forming spacer gratings around grating lines on a first layer, and fabricating a template mask that extends across and perpendicular to such spacer gratings. Cut spacer gratings are etched into a second layer using the template mask to superimpose at least a portion of the spacer gratings of the first layer into the second layer.
    Type: Application
    Filed: August 15, 2013
    Publication date: February 19, 2015
    Applicant: International Business Machines Corporation
    Inventors: Christopher P. Ausschnitt, Nelson M. Felix, Scott D. Halle
  • Patent number: 8956885
    Abstract: Thermal processing and alignment methods and processes used during fabrication of semiconductor devices are provided. One method includes, for instance: obtaining a device after at least one laser annealing process is completed, the device including a substrate surface and at least one layer over the substrate surface; applying a mask layer to the at least one layer; performing lithography on the mask layer to form a top layer; positioning a first contact-to-gate layer over the top layer; checking alignment of electrical connections between the substrate surface and the first contact-to-gate layer; and determining if an adjustment is needed to at least one parameter of at least one laser annealing beam used during the laser annealing process. In enhanced aspects, the at least one laser annealing process includes: performing three laser anneals; applying three mask layers; and performing lithography three times.
    Type: Grant
    Filed: May 28, 2013
    Date of Patent: February 17, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Eugene Barash, Jiejie Xu
  • Patent number: 8956886
    Abstract: In some embodiments, a method of controlling a photoresist trimming process in a semiconductor manufacturing process may include forming a photoresist layer atop a first surface of a substrate, wherein the photoresist layer comprises a lower layer having a first pattern to be etched into the first surface of the substrate, and an upper layer having a second pattern that is not etched into the first surface of the substrate; trimming the photoresist layer in a direction parallel to the first surface of the substrate; measuring a trim rate of the second pattern using an optical measuring tool during the trimming process; and correlating the trim rate of the second pattern to a trim rate of the first pattern to control the trim rate of the first pattern during the trimming process.
    Type: Grant
    Filed: March 11, 2014
    Date of Patent: February 17, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Samer Banna, Olivier Joubert, Lei Lian, Maxime Darnon, Nicolas Posseme, Laurent Vallier
  • Publication number: 20150041867
    Abstract: Various embodiments provide FinFETs and methods for forming the same. In an exemplary method, a semiconductor substrate having sacrificial layers formed thereon is provided. First sidewall spacers and second sidewall spacers are sequentially formed on both sides of each sacrificial layer. The sacrificial layers can be removed. A first width is measured as a distance between adjacent first sidewall spacers, and a second width is measured as a distance between adjacent second sidewall spacers. When the first width is not equal to the second width, the first sidewall spacers or the second sidewall spacers are correspondingly etched such that the first width is equal to the second width. The semiconductor substrate is etched using the first sidewall spacers and the second sidewall spacers as an etch mask, to form fins, such that a top of each fin has a symmetrical morphology.
    Type: Application
    Filed: July 21, 2014
    Publication date: February 12, 2015
    Applicants: Semiconductor Manufacturing International (Beijing) Corporation, Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: QIUHUA HAN
  • Publication number: 20150044786
    Abstract: Alignment systems, and wafer bonding alignment systems and methods are disclosed. In some embodiments, an alignment system for a wafer bonding system includes means for monitoring an alignment of a first wafer and a second wafer, and means for adjusting a position of the second wafer. The alignment system includes means for feeding back a relative position of the first wafer and the second wafer to the means for adjusting the position of the second wafer before and during a bonding process for the first wafer and the second wafer.
    Type: Application
    Filed: August 9, 2013
    Publication date: February 12, 2015
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Xin-Hua Huang, Xiaomeng Chen, Ping-Yin Liu, Lan-Lin Chao
  • Patent number: 8951813
    Abstract: A method of polishing a substrate having a film is provided. The method includes: performing polishing of the substrate in a polishing section; transporting the polished substrate to a wet-type film thickness measuring device prior to cleaning and drying of the substrate; measuring a thickness of the film by the wet-type film thickness measuring device; comparing the thickness with a predetermined target value; and if the thickness has not reached the predetermined target value, performing re-polishing of the substrate in the polishing section prior to cleaning and drying of the substrate.
    Type: Grant
    Filed: July 9, 2013
    Date of Patent: February 10, 2015
    Assignee: Ebara Corporation
    Inventors: Takeshi Iizumi, Katsuhide Watanabe, Yoichi Kobayashi
  • Publication number: 20150037913
    Abstract: Various embodiments include wafer level chip scale package (WLCSP) structures and methods of tuning such structures. In some embodiments, the WLCSP structure includes: a printed circuit board (PCB) trace connection including at least one PCB ground connection connected with a PCB ground plane; a set of ground solder balls each contacting the printed circuit board trace connection; a set of chip pads contacting each of the ground solder balls in the set of ground solder balls; a chip ground plane connecting the set of chip pads; and a signal interconnect interposed between two of the set of ground solder balls, the signal interconnect including: a signal trace connection electrically isolated from the PCB ground plane; a signal ball contacting the signal PCB trace connection; a chip pad contacting the signal ball, and a signal trace connection on a chip contacting the chip pad.
    Type: Application
    Filed: October 21, 2014
    Publication date: February 5, 2015
    Inventors: Hanyi Ding, Richard S. Graf, Gary R. Hill, Wayne H. Woods, JR.
  • Publication number: 20150037122
    Abstract: The present invention relates to a station arrangement for processing and/or measuring semiconductor wafers which comprises, as individual modules, at least one loading module, at least one process station for processing the semiconductor wafers and/or at least one measuring station for measuring a variable of the semiconductor wafers, at least one adjustment-/cooling station and also at least one transport robot which is disposed in a transport housing. The transport robot enables the transport of the semiconductor wafers to be processed between the loading module and the respective process station for processing the semiconductor wafers and/or the at least one measuring station for measuring the semiconductor wafers.
    Type: Application
    Filed: February 22, 2013
    Publication date: February 5, 2015
    Inventors: Martin Schellenberger, Dirk Lewke
  • Publication number: 20150037912
    Abstract: A hydrogen barrier layer is selectively provided over an oxide semiconductor layer including hydrogen and hydrogen is selectively desorbed from a given region in the oxide semiconductor layer by conducting oxidation treatment, so that regions with different conductivities are formed in the oxide semiconductor layer. After that, a channel formation region, a source region, and a drain region can be formed with the use of the regions with different conductivities formed in the oxide semiconductor layer.
    Type: Application
    Filed: August 7, 2014
    Publication date: February 5, 2015
    Inventor: Junichiro SAKATA
  • Publication number: 20150035117
    Abstract: Aspects of the present invention relate to method for reducing lateral extrusion formed in semiconductor structures and semiconductor structures formed thereof. Various embodiments include a method for reducing lateral extrusion formed in semiconductor structures. The method can include removing a portion of a first lateral extrusion in an aluminum layer of the semiconductor structure, and determining a post-removal thickness of a dielectric layer positioned adjacent the aluminum layer. The post-removal thickness may be determined subsequent to the removing of the portion of the first lateral extrusion. The method can also include determining a difference between the post-removal thickness of the dielectric layer and a pre-removal thickness of the dielectric layer.
    Type: Application
    Filed: July 31, 2013
    Publication date: February 5, 2015
    Applicant: International Business Machines Corporation
    Inventors: Shawn A. Adderly, Brian M. Czabaj, Daniel A. Delibac, Jeffrey P. Gambino, Matthew D. Moon, David C. Thomas
  • Patent number: 8945954
    Abstract: There is provided an inspection method for inspecting a substrate supporting portion configured to support a substrate during an exposure performed by an exposure apparatus, the method including: irradiating a surface of the exposed substrate with an illumination light beam; detecting reflected light from a pattern in the irradiated surface; determining a focusing state at the time of exposing the pattern of the substrate based on the detected reflected light; and inspecting a state of the substrate supporting portion based on the focusing state.
    Type: Grant
    Filed: December 14, 2011
    Date of Patent: February 3, 2015
    Assignee: Nikon Corporation
    Inventor: Kazuhiko Fukazawa
  • Patent number: 8945952
    Abstract: Combinatorial workflow is provided for evaluating cleaning processes after forming a gate structure of transistor devices, to provide optimized process conditions for gate stack formation, including metal gate stack using high-k dielectrics. NMOS and PMOS transistor devices are combinatorially fabricated on multiple regions of a substrate, with each region exposed to a different cleaning chemical and process. The transistor devices are then characterized, and the data are compared to categorize the potential damages of different cleaning chemicals and processes. Optimized chemicals and processes can be obtained to satisfy desired device requirements.
    Type: Grant
    Filed: November 5, 2013
    Date of Patent: February 3, 2015
    Assignee: Intermolecular, Inc.
    Inventor: John Foster