Vertical Channel Insulated Gate Field Effect Transistor Patents (Class 438/206)
  • Patent number: 7498246
    Abstract: Disclosed herein are a method of manufacturing a semiconductor device, which can prevent a stepped gate from leaning and increase the channel length of the device, thus contributing to an increase in the degree of integration of the device, as well as a semiconductor device manufactured thereby. The method comprises the steps of: forming in a silicon substrate an isolation film defining an active region; selectively etching each of both sides of the active region to form a first recess and a first protrusion surrounded by the first recess and located at the central portion of the active region; selectively etching the bottom of the first recess and either side of the first protrusion to form a second recess and a second central protrusion surrounded by the second recess; and forming a gate on a portion of the active region extending from each of both edges of the second central protrusion to a portion of the second recess of the active region.
    Type: Grant
    Filed: October 25, 2007
    Date of Patent: March 3, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hyun Jung Kim
  • Patent number: 7494876
    Abstract: In a trench-gated MIS semiconductor device, a slug of undoped polysilicon is deposited at the bottom of the trench to protect the gate oxide in this area against the high electric fields that can occur in this area. The slug is formed over a thick oxide layer at the bottom of the trench. A process of fabricating the MOSFET includes the steps of growing a thick oxide layer on the sidewalls and bottom of the trench, depositing a polysilicon layer which remains undoped, etching the polysilicon layer to form the plug, etching the exposed portion of the thick oxide layer, growing a gate oxide layer and an oxide layer over the plug, and depositing and doping a polysilicon layer which serves as the gate electrode. In an alternative embodiment, the oxide layer overlying the plug is etched before the gate polysilicon is deposited such that the dopant introduced into the gate polysilicon migrates into the polysilicon plug.
    Type: Grant
    Filed: April 21, 2005
    Date of Patent: February 24, 2009
    Assignee: Vishay Siliconix
    Inventors: Frederick Perry Giles, Kam Hong Lui
  • Patent number: 7488721
    Abstract: A method is provided for determining the activity of an enzyme which releases methylumbelliferone (MU) from an MU-containing substrate wherein the enzyme has a pH optimum below the pKa of MU comprising: contacting a sample suspected of containing the enzyme with the MU-containing substrate at a pH suitable for activity of the enzyme to allow release of MU by the enzyme; contacting the sample with light of a wavelength in the range of about 310 nm to about 350 nm; determining fluorescence produced by the released MU, thereby determining the activity of the enzyme. This real time method provides improved diagnostic methods, for example for diseases associated with an abnormal level of activity of a glycosidase. The real time assay also can be used to screen compounds for their ability to modulate enzyme activity using MU-containing substrates.
    Type: Grant
    Filed: May 13, 2005
    Date of Patent: February 10, 2009
    Assignees: McMaster University, Hospital for Sick Children
    Inventors: Don Mahuran, Michael Tropak, Eric Brown
  • Patent number: 7470578
    Abstract: A finFET (100) having sidwall spacers (136, 140) to suppress parasitic devices in the upper region of a channel and at the bases of source(s) and drain(s) that are artifacts of the fabrication techniques used to make the finFET. The FinFET is formed on an SOI wafer (104) by etching through a hardmask (148) so as to form a freestanding fin (120). Prior to doping the source(s) (124) and drain(s) (128), a layer (156) of thermal oxide is deposited over the entire finFET. This layer is etched away so as to form the sidewall spacers at each reentrant corner formed where a horizontal surface meets a vertical surface. Sidewall spacers (136) inhibit doping of the upper region of source(s) and drain(s) immediately adjacent the gate. Sidewall spacers (140) fill in any undercut regions (144) of BOX layer (116) that may have been formed during prior fabrication steps.
    Type: Grant
    Filed: November 4, 2005
    Date of Patent: December 30, 2008
    Assignee: International Business Machines Corporation
    Inventors: Edward J. Nowak, BethAnn Rainey
  • Patent number: 7465622
    Abstract: A method for fabricating a vertical channel transistor device is provided. An opening is formed in a dielectric stack comprised of a pad nitride layer and a pad oxide layer. A plurality of epitaxial silicon growth and dry etching processes are carried out to form drain, vertical channel and source in the opening. Subsequently, sidewall gate dielectric and sidewall gate electrode are formed on the vertical channel. The present invention is suited for dynamic random access memory (DRAM) devices, particularly suited for very high-density trench-capacitor DRAM devices.
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: December 16, 2008
    Assignee: Nanya Technology Corp.
    Inventor: Shian-Jyh Lin
  • Patent number: 7446012
    Abstract: The present invention relates to a lateral PNP transistor and the method of manufacturing the same. The medium doping N-type base area and the light doping P? collector area were first introduced in the structure before the formation of P+ doping emitter area and the collector area. The emitter-base-collector doping profile in the lateral and the base width of LPNP were similar to NPN. The designer can optimize the doping profile and area size of each area according to the request of the current gain (Hfe), collector-base breakdown voltage (BVceo), and early voltage (VA) of LPNP transistor. These advantages may cause to reduce the area and enhance performance of the LPNP transistor.
    Type: Grant
    Filed: January 20, 2006
    Date of Patent: November 4, 2008
    Assignee: BCD Semiconductor Manufacturing Limited
    Inventors: Chong Ren, Xian-Feng Liu, Bin Qiu
  • Patent number: 7445983
    Abstract: A method of manufacturing a semiconductor integrated circuit (IC) device that integrates a TLPM (trench lateral power MOSFET) and one or more planar semiconductor devices on a semiconductor substrate. In manufacturing the semiconductor IC device according to one embodiment, a trench etching forms a trench. A p-type body region, an n-type expanded drain region, and a thick oxide film are formed. A second trench etching deepens the trench. Gate oxide films and gate electrodes of the TLPM, an NMOSFET, and a PMOSFET are formed. P-type base regions of the TLPM and an NPN bipolar transistor are formed. An n-type source and drain region of the TLPM, and n-type diffusion regions of the NMOSFET and the NPN bipolar transistor are formed. P-type diffusion regions of the PMOSFET and the NPN bipolar transistor are formed. An interlayer oxide film, a contact electrode, and constituent metal electrodes are formed.
    Type: Grant
    Filed: August 28, 2007
    Date of Patent: November 4, 2008
    Assignee: Fuji Electric Co., Ltd.
    Inventors: Naoto Fujishima, C. Andre T. Salama
  • Patent number: 7445982
    Abstract: A method of manufacturing a semiconductor integrated circuit (IC) device that integrates a TLPM (trench lateral power MOSFET) and one or more planar semiconductor devices on a semiconductor substrate. In manufacturing the semiconductor IC device according to one embodiment, a trench etching forms a trench. A p-type body region, an n-type expanded drain region, and a thick oxide film are formed. A second trench etching deepens the trench. Gate oxide films and gate electrodes of the TLPM, an NMOSFET, and a PMOSFET are formed. P-type base regions of the TLPM and an NPN bipolar transistor are formed. An n-type source and drain region of the TLPM, and n-type diffusion regions of the NMOSFET and the NPN bipolar transistor are formed. P-type diffusion regions of the PMOSFET and the NPN bipolar transistor are formed. An interlayer oxide film, a contact electrode, and constituent metal electrodes are formed.
    Type: Grant
    Filed: August 28, 2007
    Date of Patent: November 4, 2008
    Assignee: Fuji Electric Co., Ltd.
    Inventors: Naoto Fujishima, C. Andre T. Salama
  • Patent number: 7432134
    Abstract: A semiconductor device 100 includes an element-forming region having gate electrode 108 formed therein, and a circumferential region formed in the outer circumference of the element-forming region and having an element-isolating region 118 formed therein. On the main surface of the semiconductor substrate 101, there is formed a parallel pn layer having an N-type drift region 104 and P-type column regions 106 alternately arranged therein. In the circumferential region, there is formed a field electrode 120, but the field electrode 120 is not formed on the P-type column regions 106. The P-type column regions 106 in the circumferential region are formed with a depth larger than or equal to that of the P-type column regions 106 in the element-forming region.
    Type: Grant
    Filed: November 21, 2007
    Date of Patent: October 7, 2008
    Assignee: NEC Electronics Corporation
    Inventors: Hitoshi Ninomiya, Yoshinao Miura
  • Patent number: 7427788
    Abstract: A field effect transistor (FET) includes spaced apart source and drain regions disposed on a substrate and at least one pair of elongate channel regions disposed on the substrate and extending in parallel between the source and drain regions. A gate insulating region surrounds the at least one pair of elongate channel regions, and a gate electrode surrounds the gate insulating region and the at least one pair of elongate channel regions. Support patterns may be interposed between the semiconductor substrate and the source and drain regions. The elongate channel regions may have sufficiently small cross-section to enable complete depletion thereof. For example, a width and a thickness of the elongate channel regions may be in a range from about 10 nanometers to about 20 nanometers. The elongate channel regions may have rounded cross-sections, e.g., each of the elongate channel regions may have an elliptical cross-section.
    Type: Grant
    Filed: October 26, 2005
    Date of Patent: September 23, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ming Li, Sung-min Kim
  • Patent number: 7410856
    Abstract: A vertical transistor forming method includes forming a first pillar above a first source/drain and between second and third pillars, providing a first recess between the first and second pillars and a wider second recess between the first and third pillars, forming a gate insulator over the first pillar, forming a front gate and back gate over opposing sidewalls of the first pillar by depositing a gate conductor material within the first and second recesses and etching the gate conductor material to substantially fill the first recess, forming the back gate, and only partially fill the second recess, forming the front gate, forming a second source/drain elevationally above the first source/drain, and providing a transistor channel in the first pillar. The channel is operationally associated with the first and second sources/drains and with the front and back gates to form a vertical transistor configured to exhibit a floating body effect.
    Type: Grant
    Filed: September 14, 2006
    Date of Patent: August 12, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Kris K. Brown
  • Patent number: 7402483
    Abstract: A multi-bridge-channel MOSFET (MBCFET) may be formed by forming a stacked structure on a substrate that includes channel layers and interchannel layers interposed between the channel layers. Trenches are formed by selectively etching the stacked structure. The trenches run across the stacked structure parallel to each other and separate a first stacked portion including channel patterns and interchannel patterns from second stacked portions including channel and interchannel layers remaining on both sides of the first stacked portion. First source and drain regions are grown using selective epitaxial growth. The first source and drain regions fill the trenches and connect to second source and drain regions defined by the second stacked portions. Marginal sections of the interchannel patterns of the first stacked portion are selectively exposed. Through tunnels are formed by selectively removing the interchannel patterns of the first stacked portion beginning with the exposed marginal sections.
    Type: Grant
    Filed: July 26, 2005
    Date of Patent: July 22, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Eun-jung Yun, Sung-min Kim, Sung-young Lee
  • Patent number: 7358141
    Abstract: Described is a method for fabricating a semiconductor device having an FET of a trench-gate structure obtained by disposing a conductive layer, which will be a gate, in a trench extended in the main surface of a semiconductor substrate, wherein the upper surface of the trench-gate conductive layer is formed equal to or higher than the main surface of the semiconductor substrate. In addition, the conductive layer of the trench gate is formed to have a substantially flat or concave upper surface and the upper surface is formed equal to or higher than the main surface of the semiconductor substrate. Moreover, after etching of the semiconductor substrate to form the upper surface of the conductive layer of the trench gate equal to or higher than the main surface of the semiconductor substrate, a channel region and a source region are formed by ion implantation. The semiconductor device thus fabricated according to the present invention is free from occurrence of a source offset.
    Type: Grant
    Filed: July 11, 2006
    Date of Patent: April 15, 2008
    Assignees: Renesas Technology Corp., Hitachi Ulsi Systems Co., Ltd.
    Inventors: Hiroshi Inagawa, Nobuo Machida, Kentaro Ooishi
  • Patent number: 7341896
    Abstract: In a method of manufacturing a vertical MOS transistor, a body region, a trench, a gate oxide film, a gate electrode, a source region, and a body contact region are successively formed in a semiconductor substrate. A first insulating film is deposited over the main surface of the semiconductor substrate and the gate electrode, and the first insulating film is then etched to form side spacers made of the first insulating film on the wall surfaces of the trench so as to overly the gate electrode. A second insulating film is deposited over a main surface of the semiconductor substrate, the gate electrode, and the first insulating film. The second insulating film is then etched back so as to entirely expose the source region and the body contact region. A source metal electrode is formed over the main surface of the semiconductor substrate so as to cover the source region and body contact region.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: March 11, 2008
    Assignee: Seiko Instruments Inc.
    Inventor: Hirofumi Harada
  • Patent number: 7341905
    Abstract: A process for making an integrated circuit is described wherein sequence of mask steps is applied to a substrate or epitaxial layer of p-type material. The sequence consists of sixteen specific mask steps that permit a variety of bipolar/CMOS/DMOS devices to be fabricated. The mask steps include (1) forming at least one N-well in the p-type material, (2) forming an active region, forming a p-type field region, (4) forming a gate oxide, (5) carrying out a p-type implantation, (6) forming polysilicon gate regions, (7) forming a p-base region, (8) forming a N-extended region, (9) forming a p-top region, 10) carrying out an N+ implant, (11) carrying out a P+ implant, (12) forming contacts, (13) depositing a metal layer, (14) forming vias, (15) depositing a metal layer therethrough, and (16) forming a passivation layer. Up to any three of mask steps (4), (7), (8), and (9) may be omitted depending on the type of integrated circuit.
    Type: Grant
    Filed: December 27, 2004
    Date of Patent: March 11, 2008
    Assignee: DALSA Semiconductor Inc.
    Inventors: Stephane Martel, Yan Riopel, Sebastien Michel, Luc Ouellet
  • Patent number: 7303967
    Abstract: Disclosed is a method for fabricating a transistor of a semiconductor device, the method comprising the steps of: providing a semiconductor; forming a gate electrode; performing a low-density ion implantation process with respect to the substrate, thereby forming an LDD ion implantation layer; forming an insulation spacer on a sidewall of the gate electrode; forming a diffusion barrier; performing a high-density ion implantation process with respect to the substrate, thereby forming a source/drain; performing a first thermal treatment process with respect to a resultant structure, so as to activate impurities in the source/drain, and simultaneously causing a diffusion velocity of the impurities in the source/drain to be reduced by the diffusion barrier; and forming a salicide layer.
    Type: Grant
    Filed: June 23, 2004
    Date of Patent: December 4, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Seung Hoon Sa
  • Patent number: 7288815
    Abstract: A semiconductor device (20, 21, 22), including: a channel region (4) of a first conductivity type formed at a surface layer portion of a semiconductor substrate (1); a source region (25) of a second conductivity type which is different from the first conductivity type, the source region (25) being formed at a rim of a trench (17) having a depth sufficient to penetrate through the channel region (4); a drain region (2) of the second conductivity type formed at a region adjacent to a bottom of the trench (17); a gate insulating film (13) formed along an inner side wall of the trench (17); a gate electrode (26, 36) arranged in the trench (17) so as to be opposed to the channel region (4) with the gate insulating film (13) interposed therebetween; a conductive layer (37, 40, 40a, 40b) formed in the trench (17) so as to be nearer to the drain region (2) than the gate electrode (26, 36); and an insulating layer (15) surrounding the conductive layer (37, 40, 40a, 40b) to electrically insulate the conductive layer (3
    Type: Grant
    Filed: December 12, 2003
    Date of Patent: October 30, 2007
    Assignee: Rohm Co., Ltd.
    Inventor: Masaru Takaishi
  • Patent number: 7271052
    Abstract: A single transistor vertical memory gain cell with long data retention times. The memory cell is formed from a silicon carbide substrate to take advantage of the higher band gap energy of silicon carbide as compared to silicon. The silicon carbide provides much lower thermally dependent leakage currents which enables significantly longer refresh intervals. In certain applications, the cell is effectively non-volatile provided appropriate gate bias is maintained. N-type source and drain regions are provided along with a pillar vertically extending from a substrate, which are both p-type doped. A floating body region is defined in the pillar which serves as the body of an access transistor as well as a body storage capacitor. The cell provides high volumetric efficiency with corresponding high cell density as well as relatively fast read times.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: September 18, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Patent number: 7256086
    Abstract: A semiconductor device is provided that can be manufactured by a simpler process than a conventional lateral trench power MOSFET for use with an 80V breakdown voltage, and which has a lower device pitch and lower on-state resistance per unit area than a conventional lateral power MOSFET for use with a lower breakdown voltage than 80V. A gate oxide film is formed thinly along the lateral surfaces of a trench at a uniform thickness. Then, a gate oxide film is formed along the bottom surface of the trench by selective oxidation so as to be thicker than the gate oxide film on the lateral surfaces of the trench and so as to become progressively thicker from the edge of the bottom surface of the trench toward drain polysilicon.
    Type: Grant
    Filed: January 10, 2006
    Date of Patent: August 14, 2007
    Assignee: Fuji Electric Co., Ltd.
    Inventors: Katsuya Tabuchi, Naoto Fujishima, Mutsumi Kitamura, Akio Sugi
  • Patent number: 7169660
    Abstract: A method for formation of openings in semiconducting devices not limited by constraints of photolithography include forming a first dielectric layer over a semiconducting substrate, depositing a polysilicon layer over the first dielectric layer, forming a second dielectric layer over the polysilicon layer, forming a third dielectric layer over the second dielectric layer, etching a dielectric window through the third dielectric layer, forming a fourth dielectric layer into the dielectric window and over the third dielectric layer, the fourth dielectric layer being of a material dissimilar to the second dielectric layer, etching the fourth dielectric layer anisotropically using an etchant with a high selectivity ratio between the fourth dielectric layer and the second dielectric layer thereby forming a spacer, and etching portions of the first and second dielectric layers and the polysilicon layer anisotropically, the portions underlying an area bounded by a periphery of the spacer thereby forming the opening.
    Type: Grant
    Filed: October 28, 2004
    Date of Patent: January 30, 2007
    Assignee: Atmel Corporation
    Inventor: Bohumil Lojek
  • Patent number: 7136302
    Abstract: Structures and methods for DEAPROM memory with low tunnel barrier intergate insulators are provided. The DEAPROM memory includes a first source/drain region and a second source/drain region separated by a channel region in a substrate. A floating gate opposes the channel region and is separated therefrom by a gate oxide. A control gate opposes the floating gate. The control gate is separated from the floating gate by a low tunnel barrier intergate insulator having a tunnel barrier of less than 1.5 eV. The low tunnel barrier intergate insulator includes a metal oxide insulator selected from the group consisting of NiO, Al2O3, Ta2O5, TiO2, ZrO2, Nb2O5, Y2O3, Gd2O3, SrBi2Ta2O3, SrTiO3, PbTiO3, and PbZrO3. The floating gate includes a polysilicon floating gate having a metal layer formed thereon in contact with the low tunnel barrier intergate insulator. And, the control gate includes a polysilicon control gate having a metal layer formed thereon in contact with the low tunnel barrier intergate insulator.
    Type: Grant
    Filed: August 26, 2005
    Date of Patent: November 14, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Jerome M. Eldridge, Kie Y. Ahn
  • Patent number: 7109516
    Abstract: The benefits of strained semiconductors are combined with silicon-on-insulator approaches to substrate and device fabrication.
    Type: Grant
    Filed: August 25, 2005
    Date of Patent: September 19, 2006
    Assignee: AmberWave Systems Corporation
    Inventors: Thomas A. Langdo, Matthew T. Currie, Glyn Braithwaite, Richard Hammond, Anthony J. Lochtefeld, Eugene A. Fitzgerald
  • Patent number: 7098097
    Abstract: A mass-production packaging means suitable for mass-production packaging of an organic luminescent display. An organic electroluminescent display panel on which an organic luminescent device has been formed is first provided. Then, an UV laser is used to clean the surface of the organic electroluminescent display panel. A molding compound is applied on the organic electroluminescent display panel by a sizing system. Subsequently, a lid is aligned with the organic electroluminescent display panel and lamination is performed. Finally, the molding compound is irradiated with UV light to be cured. The package is thus completed.
    Type: Grant
    Filed: May 8, 2001
    Date of Patent: August 29, 2006
    Assignee: RiTdisplay Corporation
    Inventors: Yih Chang, Mau-Kuo Wei, Jih-Yi Wang, Chou-Ho Shyu, Yung-Wei Lai
  • Patent number: 7075829
    Abstract: Structures and methods for programmable memory address and decode circuits with low tunnel barrier interpoly insulators are provided. The decoder for a memory device includes a number of address lines and a number of output lines wherein the address lines and the output lines form an array. A number of logic cells are formed at the intersections of output lines and address lines. Each of the logic cells includes a floating gate transistor which includes a first source/drain region and a second source/drain region separated by a channel region in a substrate. A floating gate opposes the channel region and is separated therefrom by a gate oxide. A control gate opposing the floating gate. The control gate is separated from the floating gate by a low tunnel barrier intergate insulator. The low tunnel barrier intergate insulator includes a metal oxide insulator selected from the group consisting of PbO, Al2O3, Ta2O5, TiO2, ZrO2, Nb2O5 and/or a Perovskite oxide tunnel barrier.
    Type: Grant
    Filed: August 30, 2001
    Date of Patent: July 11, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Patent number: 7071048
    Abstract: A field effect transistor includes a vertical fin-shaped semiconductor active region having an upper surface and a pair of opposing sidewalls on a substrate, and an insulated gate electrode on the upper surface and opposing sidewalls of the fin-shaped active region. The insulated gate electrode includes a capping gate insulation layer having a thickness sufficient to preclude formation of an inversion-layer channel along the upper surface of the fin-shaped active region when the transistor is disposed in a forward on-state mode of operation. Related fabrication methods are also discussed.
    Type: Grant
    Filed: September 8, 2004
    Date of Patent: July 4, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Hoon Son, Si-Young Choi, Byeong-Chan Lee, Deok-Hyung Lee, In-Soo Jung
  • Patent number: 7056816
    Abstract: A mask layer having an opening is formed on a semiconductor substrate. Next, oxygen ions and a first impurity are implanted into the semiconductor substrate using the mask layer as a mask. Then, the mask layer is removed. Next, the oxygen ions are heat treated to react and form an oxide film on the region where the first impurity has been implanted. Then, the oxide film is removed to form a depression in the semiconductor substrate. Next, a gate insulating film and a gate electrode are formed on the depression. Then a second impurity is implanted into the surface of the semiconductor substrate to form a source/drain. An impurity lighter than the oxygen ions and the second impurity is used as the first impurity.
    Type: Grant
    Filed: August 18, 2004
    Date of Patent: June 6, 2006
    Assignee: Sanyo Electric Co., Ltd.
    Inventor: Kiyoshi Shibata
  • Patent number: 7056779
    Abstract: A p type base layer is formed in one surface region of an n type base layer. An n type emitter layer is formed in a surface region of the p type base layer. An emitter electrode is formed on the n type emitter layer and the p type base layer. A trench is formed in the n type emitter layer such that extends through the p type base layer to the n type base layer. A trench gate electrode is formed in the trench. The n type base layer has such a concentration gradient continuously changing in a thickness direction thereof that its portion in contact with the p type base layer has a lower concentration than its portion in contact with the p type collector layer, with the p type collector layer having a thickness of 1 ?m or less.
    Type: Grant
    Filed: June 10, 2003
    Date of Patent: June 6, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hidetaka Hattori
  • Patent number: 7027328
    Abstract: Structures and methods for DEAPROM memory with low tunnel barrier intergate insulators are provided. The DEAPROM memory includes a first source/drain region and a second source/drain region separated by a channel region in a substrate. A floating gate opposes the channel region and is separated therefrom by a gate oxide. A control gate opposes the floating gate. The control gate is separated from the floating gate by a low tunnel barrier intergate insulator having a tunnel barrier of less than 1.5 eV. The low tunnel barrier intergate insulator includes a metal oxide insulator selected from the group consisting of NiO, Al2O3, Ta2O5, TiO2, ZrO2, Nb2O5, Y2O3, Gd2O3, SrBi2Ta2O3, SrTiO3, PbTiO3, and PbZrO3. The floating gate includes a polysilicon floating gate having a metal layer formed thereon in contact with the low tunnel barrier intergate insulator. And, the control gate includes a polysilicon control gate having a metal layer formed thereon in contact with the low tunnel barrier intergate insulator.
    Type: Grant
    Filed: February 27, 2004
    Date of Patent: April 11, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Jerome M. Eldridge, Kie Y. Ahn
  • Patent number: 7018884
    Abstract: The present invention provides a method for parallel production of an MOS transistor in an MOS area of a substrate and a bipolar transistor in a bipolar area of the substrate. The method comprises generating an MOS preparation structure in the MOS area, wherein the MOS preparation structure comprises an area provided for a channel, a gate dielectric, a gate electrode layer and a mask layer on the gate electrode layer. Further, a bipolar preparation structure is generated in the bipolar area, which comprises a conductive layer and a mask layer on the conductive layer. The mask layer is thinned in the area of the gate electrode. For determining a gate electrode and a base terminal area, common structuring of the gate electrode layer and the conductive layer is performed.
    Type: Grant
    Filed: February 6, 2004
    Date of Patent: March 28, 2006
    Assignee: Infineon Technologies AG
    Inventors: Adrian Berthold, Josef Böck, Jürgen Holz, Wolfgang Klein
  • Patent number: 6995057
    Abstract: A folded bit line DRAM device is provided. The folded bit line DRAM device includes an array of memory cells. Each memory cell in the array of memory cells includes a pillar extending outwardly from a semiconductor substrate. Each pillar includes a single crystalline first contact layer and a single crystalline second contact layer separated by an oxide layer. A single crystalline vertical transistor is formed along alternating sides of the pillar within a row of pillars. The single crystalline vertical transistor includes an ultra thin single crystalline vertical first source/drain region coupled to the first contact layer, an ultra thin single crystalline vertical second source/drain region coupled to the second contact layer, and an ultra thin single crystalline vertical body region which opposes the oxide layer and couples the first and the second source/drain regions.
    Type: Grant
    Filed: May 5, 2003
    Date of Patent: February 7, 2006
    Assignee: Micron Technology Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Patent number: 6991977
    Abstract: A semiconductor device is formed as follows. A semiconductor substrate having a first region of a first conductivity type is provided. A region of a second conductivity type is formed in the semiconductor substrate such that the first and second regions form a p-n junction. First and second charge control electrodes are formed adjacent to but insulated from one of the first and second regions, along a dimension parallel to flow of current through the semiconductor device, wherein the first charge control electrode is adapted to be biased differently than the second charge control electrode.
    Type: Grant
    Filed: September 18, 2003
    Date of Patent: January 31, 2006
    Assignee: Fairchild Semiconductor Corporation
    Inventor: Christopher Boguslaw Kocon
  • Patent number: 6974999
    Abstract: It is an object to suppress a change in a characteristic of a semiconductor device with a removal of a hard mask while making the most of an advantage of a gate electrode formed by using the hard mask. A gate electrode (3) is formed by etching using a hard mask as a mask and the hard mask remains on an upper surface of the gate electrode (3) at a subsequent step. In the meantime, the upper surface of the gate electrode (3) can be therefore prevented from being unnecessarily etched. The hard mask is removed after ion implantation for forming a source-drain region. Consequently, the influence of the removal of the hard mask on a characteristic of a semiconductor device can be suppressed. In that case, moreover, a surface of a side wall (4) is also etched by a thickness of (d) so that an exposure width of an upper surface of the source-drain region is increased. After the removal of the hard mask, it is easy to salicide the gate electrode (3) and to form a contact on the gate electrode (3).
    Type: Grant
    Filed: October 7, 2002
    Date of Patent: December 13, 2005
    Assignee: Renesas Technology Corp.
    Inventor: Tsuyoshi Sugihara
  • Patent number: 6960507
    Abstract: A vertical double channel silicon-on-insulator (SOI) field-effect-transistor (FET) includes a pair of two vertical semiconductor layers in contact with a pair of parallel shallow trench isolation layers on a substrate, a source, a drain and a channel region on each of the pair of vertical semiconductor layers with corresponding regions on the pair of vertical semiconductor layers facing each other in alignment, a gate oxide on the channel region of both of the pair of the vertical semiconductor layers, and a gate electrode, a source electrode, and a drain electrode electrically connecting the respective regions of the pair of vertical semiconductor layers.
    Type: Grant
    Filed: January 20, 2004
    Date of Patent: November 1, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-Young Kim, Jin-Jun Park
  • Patent number: 6940145
    Abstract: A semiconductor device (e.g. MOSFET or IGBT) comprises active and termination regions (1,2) formed in a semiconductor substrate (4). The substrate (4) has an upper surface and a termination including a trench (12) extending into the substrate (4) from the upper surface within the termination region (1). Termination trench (12) is at least partly filled with an insulating material (13) which extends from the termination trench (12) to overlie adjacent regions of the device above the surface. A channel stop region (11) extends laterally from a side wall of the termination trench (12) into the substrate (4).
    Type: Grant
    Filed: October 15, 2002
    Date of Patent: September 6, 2005
    Assignee: Zetex PLC
    Inventors: Peter Blair, Adrian Finney, Paul Gerrard, Andrew Wood, David Mottram
  • Patent number: 6919249
    Abstract: A semiconductor device comprises a semiconductor layer of a first conductivity type (2), a base region (3) formed proximal to the semiconductor layer, a source region (4) selectively placed over the base region, trenches (T), a gate insulating layer (7) and a gate electrode (6) provided on an inner wall of each of the trenches, and a source electrode (9) connected to the source region. The source region is higher in impurity concentration in a contact (4a) with the source electrode than in a contact with the gate insulating layer, and it is also higher in impurity concentration in the contact (4a) with the source electrode than in a contact with the base region.
    Type: Grant
    Filed: February 17, 2004
    Date of Patent: July 19, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takahiro Kawano, Tatsuo Yoneda, Hirobumi Matsuki
  • Patent number: 6913960
    Abstract: The present invention provides a dynamic threshold (DT) CMOS FET and a method for forming the same that results in improved device performance and density. The preferred embodiment of the present invention provides a DT CMOS FET with a short, low resistance connection between the gate and the body and with low body-source/drain capacitance. The low body-source/drain capacitance is achieved using a thin, fin-type body. The low resistance connection between the gate and the body contact is achieved by having the gate and body contact aligned on opposite long sides of the fin with a bridge over the top of the narrow fin electrically connecting the gate and body.
    Type: Grant
    Filed: May 11, 2004
    Date of Patent: July 5, 2005
    Assignee: International Business Machines Corporation
    Inventors: Andres Bryant, K. Paul Muller, Edward J. Nowak
  • Patent number: 6900495
    Abstract: The invention relates to a layer arrangement, a memory cell, a memory cell arrangement and a method for producing a layer arrangement. The layer arrangement has a monocrystalline substrate, a highly doped region in the substrate and a metallically conductive structure in the highly doped region, a partial region of the highly doped region that is arranged in a surface region of the substrate being monocrystalline.
    Type: Grant
    Filed: December 4, 2002
    Date of Patent: May 31, 2005
    Assignee: Infineon Technologies AG
    Inventors: Franz Hofmann, R. Johannes Luyken, Wolfgang Rösner
  • Patent number: 6890812
    Abstract: Structures and method for an open bit line DRAM device are provided. The open bit line DRAM device includes an array of memory cells. Each memory cell in the array of memory cells includes a pillar extending outwardly from a semiconductor substrate. The pillar includes a single crystalline first contact layer and a single crystalline second contact layer separated by an oxide layer. In each memory cell a single crystalline vertical transistor is formed along side of the pillar. The single crystalline vertical transistor includes an ultra thin single crystalline vertical first source/drain region coupled to the first contact layer, an ultra thin single crystalline vertical second source/drain region coupled to the second contact layer, an ultra thin single crystalline vertical body region which opposes the oxide layer and couples the first and the second source/drain regions, and a gate opposing the vertical body region and separated therefrom by a gate oxide.
    Type: Grant
    Filed: December 11, 2002
    Date of Patent: May 10, 2005
    Assignee: Micron Technology Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Patent number: 6855603
    Abstract: The present invention provide a vertical nano-sized transistor using carbon nanotubes capable of achieving high-density integration, that is, tera-bit scale integration, and a manufacturing method thereof, wherein in the vertical nano-sized transistor using carbon nanotubes, holes having diameters of several nanometers are formed in an insulating layer and are spaced at intervals of several nanometers. Carbon nanotubes are vertically aligned in the nano-sized holes by chemical vapor deposition, electrophoresis or mechanical compression to be used as channels. A gate is formed in the vicinity of the carbon nanotubes using an ordinary semiconductor manufacturing method, and then a source and a drain are formed at lower and upper parts of each of the carbon nanotubes thereby fabricating the vertical nano-sized transistor having an electrically switching characteristic.
    Type: Grant
    Filed: March 14, 2003
    Date of Patent: February 15, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Won-bong Choi, Jo-won Lee, Young-hee Lee
  • Patent number: 6849491
    Abstract: A process for making a integrated circuits of different typed is described wherein sequence of mask steps is applied to a substrate or epitaxial layer of p-type material. The sequence is chosen from a predefined common set of mask steps according to the particular type of integrated circuit to be fabricated. In this way, various types of integrated circuit can be fabricated in a most efficient manner.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: February 1, 2005
    Assignee: DALSA Semiconductor Inc.
    Inventors: Stephane Martel, Yan Riopel, Sebastien Michel, Luc Ouellet
  • Patent number: 6841437
    Abstract: A method of forming medium breakdown voltage vertical transistors (11) and lateral transistors (12, 13) on the same substrate (14) provides for optimizing the epitaxial layer (16) for the lateral transistors (12, 13). The vertical transistor (11) is formed in a well (18) that has a lower resistivity than the epitaxial layer (16) to provide the required low on-resistance for the vertical power transistor (11).
    Type: Grant
    Filed: June 20, 2003
    Date of Patent: January 11, 2005
    Assignee: Semiconductor Components Industries, L.L.C.
    Inventor: Stephen P. Robb
  • Patent number: 6812076
    Abstract: A FinFet-type semiconductor device includes a fin structure on which a relatively thin amorphous silicon layer and then an undoped polysilicon layer is formed. The semiconductor device may be planarized using a chemical mechanical polishing (CMP) in which the amorphous silicon layer acts as a stop layer to prevent damage to the fin structure.
    Type: Grant
    Filed: January 8, 2004
    Date of Patent: November 2, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Krishnashree Achuthan, Shibly S. Ahmed, Haihong Wang, Bin Yu
  • Patent number: 6808987
    Abstract: A method for forming a vertical nitride read-only memory cell. A substrate having at least one trench is provided. A first conductive layer is formed in the lower trench and insulated from the substrate to serve as a source line. A first doping region is formed in the substrate adjacent to the top of the first conductive layer. A first insulating layer is formed on the first conductive layer. A second doping region is formed in the substrate adjacent to the top of the trench. A second insulating layer is formed over the sidewall of the trench and on the first insulating layer to serve as a gate dielectric layer. A second conductive layer is formed in the upper trench to serve as a control gate. A vertical nitride read-only memory cell is also disclosed.
    Type: Grant
    Filed: June 12, 2003
    Date of Patent: October 26, 2004
    Assignee: Nanya Technology Corporation
    Inventors: Ching-Nan Hsiao, Ying-Cheng Chuang
  • Patent number: 6806178
    Abstract: A gate insulating film, a gate electrode, a gate-top protection film, LDD layers and nitride film sidewalls are formed on a semiconductor substrate. Source/drain regions are formed in the semiconductor substrate. After deposition of an interlayer insulating film on the resultant substrate, a hole is formed through the interlayer insulating film and the gate-top protection film to reach the gate electrode, and a gate contact is formed by filling the hole. The gate-top protection film has an opening exposing part of a portion of the area on the top surface of the gate electrode other than the region in contact with the gate contact. This facilitates external diffusion of hydrogen during annealing, or recovery from a fixed level and a damage layer during sintering.
    Type: Grant
    Filed: May 9, 2003
    Date of Patent: October 19, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Mizuki Segawa
  • Patent number: 6780686
    Abstract: The present invention is generally directed to doping methods for fully-depleted SOI structures, and a device comprising such resulting doped regions. In one illustrative embodiment, the device comprises a transistor formed above a silicon-on-insulator substrate comprised of a bulk substrate, a buried oxide layer and an active layer, the transistor being comprised of a gate electrode, the bulk substrate being doped with a dopant material at a first concentration level. The device further comprises a first doped region formed in the bulk substrate, the first doped region being doped with a dopant material that is the same type as the bulk substrate dopant material, wherein the concentration level of dopant material in the first doped region is greater than the first dopant concentration level in the bulk substrate, the first doped region being substantially aligned with the gate electrode.
    Type: Grant
    Filed: March 21, 2002
    Date of Patent: August 24, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Andy C. Wei, Derick J. Wristers, Mark B. Fuselier
  • Patent number: 6777279
    Abstract: Disclosed are a semiconductor integrated circuit device and a method of manufacturing the same capable of realizing the two-level gate insulator process for the DRAM without increasing the number of manufacturing steps and that of photomasks. After forming a gate electrode of a MISFET which constitutes a memory cell in a memory array region on a semiconductor substrate, the substrate is subjected to thermal treatment (re-oxidation process). At this time, since bird's beak of the thick gate insulating film formed below the sidewall portion of the gate electrode penetrates into the center of the gate electrode, a gate insulating film thicker than the gate insulating film before the re-oxidation process is formed just below the center of the gate electrode.
    Type: Grant
    Filed: April 14, 2003
    Date of Patent: August 17, 2004
    Assignees: Renesas Technology Corp., Hitachi ULSI Systems Co., Ltd., Elpida Memory, Inc.
    Inventors: Chiemi Hashimoto, Yasuhiko Kawashima, Keizo Kawakita, Masahiro Moniwa, Hiroyasu Ishizuka, Akihiro Shimizu
  • Patent number: 6774408
    Abstract: In a trench MOS gate structure of a semiconductor device where trenches (T) are located between an n-type base layer (1) and an n-type source layer (3), a p-type channel layer (12) is formed adjacent to side walls of the trenches, having an even concentration distribution along a depthwise dimension of the trenches. The p-type channel layer enables saturation current to decrease without a raise of ON-resistance of the device, and resultantly a durability against short-circuit can be enhanced. The n-type source layer formed adjacent to the side walls of the trench also further enhances the durability against short-circuit. Providing contacts of the emitter electrode (7) with the n-type source layer at the side walls of the trenches permits a miniaturization of the device and a reduction of the ON-resistance as well.
    Type: Grant
    Filed: June 28, 2002
    Date of Patent: August 10, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hideaki Ninomiya
  • Patent number: 6770534
    Abstract: The present invention relates to an ultra small size vertical MOSFET device having a vertical channel and a source/drain structure and a method for the manufacture thereof by using a silicon on insulator (SOI) substrate. To begin with, a first silicon conductive layer is formed by doping an impurity of a high concentration into a first single crystal silicon layer. Thereafter, a second single crystal silicon layer with the impurity of a low concentration and a second silicon conductive layer with the impurity of the high concentration are formed on the first silicon conductive layer. The second single crystal silicon layer and the second silicon conductive layer are vertically patterned into a predetermined configuration. Subsequently, a gate insulating layer is formed on entire surface.
    Type: Grant
    Filed: July 11, 2003
    Date of Patent: August 3, 2004
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Wonju Cho, Seong Jae Lee, Kyoung Wan Park
  • Patent number: 6762080
    Abstract: In a method of manufacturing a semiconductor element (6) having a cathode (3) and an anode (5), the starting material used is a relatively thick wafer (1) to which, as a first step, a barrier region (21) is added on the anode side. It is then treated on the cathode side, and the thickness of the wafer (1) is then reduced on the side opposite to the cathode (3), and an anode (5) is produced on this side in a further step. The result is a relatively thin semiconductor element which can be produced economically and without epitaxial layers.
    Type: Grant
    Filed: August 21, 2002
    Date of Patent: July 13, 2004
    Assignee: ABB Schweiz Holding AG
    Inventor: Stefan Linder
  • Patent number: 6750095
    Abstract: A method of producing an integrated circuit having a vertical MOS transistor includes doping a substrate to form a layer adjacent to its surface and forming a lower doped layer serving as the transistor's first source/drain region. The transistor's channel region is formed by doping a central layer above the lower layer. A second source/drain region is formed by doping an upper layer above the central layer. The upper, central and lower layers form a layer sequence having opposed first and second faces. A connecting structure is formed on the first face to electrically connect the channel region and the substrate. The connecting structure laterally adjoins at least the central layer and the lower layer, and extends into the substrate. A gate dielectric and adjacent gate electrode are formed on the second face.
    Type: Grant
    Filed: May 29, 2001
    Date of Patent: June 15, 2004
    Assignee: Infineon Technologies AG
    Inventors: Emmerich Bertagnoll, Franz Hofmann, Bernd Goebel, Wolfgang Roesner