Including Texturizing Storage Node Layer Patents (Class 438/255)
  • Publication number: 20040159909
    Abstract: Disclosed herein is a method of forming a reliable high performance capacitor using an isotropic etching process to optimize the surface area of the lower electrodes while preventing an electrical bridge from forming between the lower electrodes. This method includes multiple sacrificial oxide layers that are formed over a substrate, an insulating layer with contact plugs, and an etch stopping layer. The sacrificial oxide layers are patterned and additionally isotropically etched to form an expanded capacitor hole. An exposed portion of the etch stopping layer is then etched to form a final capacitor hole exposing an upper portion of the contact plug and a portion of the insulating layer adjacent thereto. The semiconductor substrate having the final capacitor hole is cleaned to remove a native oxide film on the exposed upper portion of the contact plug.
    Type: Application
    Filed: February 10, 2004
    Publication date: August 19, 2004
    Inventors: Seung-Beom Kim, Won-Mo Park, Yun-Jae Lee, Joon-Mo Kwon, Myoung-Hee Han, Man-Jong Yu
  • Publication number: 20040152259
    Abstract: The present invention is directed to a thin film capacitor of a metal/insulator/metal (MIM) structure and a fabrication method thereof, which is capable of enabling small-sizing of a semiconductor device while maintaining electrostatic capacity of a capacitor. The fabrication method according to the present invention comprises the steps of: forming a plurality of grooves by selectively etching a lower insulation film on a structure of a semiconductor substrate; forming a first electrode layer, a dielectric layer and a second electrode layer in order on the lower insulation film on which the plurality of grooves are formed, such that a plurality of grooves are form in the first electrode layer, the dielectric layer and the second electrode layer, respectively, along a surface shape of the lower insulation film on which the plurality of grooves are formed; and selectively etching the second electrode layer, the dielectric layer and the first electric layer, leaving a predetermined width.
    Type: Application
    Filed: December 30, 2003
    Publication date: August 5, 2004
    Applicant: Anam Semiconductor Inc.
    Inventor: Young-Hun Seo
  • Patent number: 6770528
    Abstract: Conductive layers are formed in the trenches made in an insulating film in the following manner. First, an amorphous silicon film 26A is deposited in the trenches 25 made in a silicon oxide film 24. A photoresist film 30 is then formed on the amorphous silicon film 26A by means of spin coating. Then, exposure light is applied to the entire surface of the photoresist film 30, thereby exposing to light those parts of the photoresist film 30 which lie outside the trenches 25. The other parts of the photoresist film 30, which lie in the trenches 25 are not exposed to light because the light reaching them is inadequate. Further, the photoresist film 30 is developed thereby removing those parts of the film 30 which lie outside the trenches 25 and which have been exposed to light. Thereafter, those parts of the amorphous silicon film 26A, which lie outside the trenches 25, are removed by means of dry etching using, as a mask, the unexposed parts of the photoresist film 30 which remain in the trenches 25.
    Type: Grant
    Filed: February 19, 2003
    Date of Patent: August 3, 2004
    Assignees: Hitachi ULSI Systems Co., Ltd., Renesas Technology Corp.
    Inventors: Ryouichi Furukawa, Kazuyuki Suko, Masayuki Hiranuma, Koichi Saitoh, Hirohiko Yamamoto, Tadanori Yoshida, Masayuki Ishizaka, Maki Shimoda
  • Patent number: 6767781
    Abstract: A bitline contact and method of forming bitline contact for a vertical DRAM array using a bitline contact mask. In the method, gate conductor lines are formed. An oxide layer is deposited over the gate conductor lines, and a bitline contact mask is formed over portions of the oxide layer. The bitline contact mask is etched, and a silicon layer is deposited on the substrate. A bitline layer is deposited on the silicon layer. A masking and etching operation is performed on the bitline layer. A M0 metal is deposited over the silicon layer and on sides of non etched portions of the bitline (M0) layer to form left and right bitlines.
    Type: Grant
    Filed: September 23, 2003
    Date of Patent: July 27, 2004
    Assignees: International Business Machines Corporation, Infineon Technologies North America Corp.
    Inventors: Larry A. Nesbit, Jonathan E. Faltermeier, Ramachandra Divakaruni, Wolfgang Bergner
  • Patent number: 6764943
    Abstract: An enhanced-surface-area conductive layer compatible with high-dielectric constant materials is created by forming a film or layer having at least two phases, at least one of which is electrically conductive. The film may be formed in any convenient manner, such as by chemical vapor deposition techniques, which may be followed by an anneal to better define and/or crystallize the at least two phases. The film may be formed over an underlying conductive layer. At least one of the at least two phases is selectively removed from the film, such as by an etch process that preferentially etches at least one of the at least two phases so as to leave at least a portion of the electrically conductive phase. Ruthenium and ruthenium oxide, both conductive, may be used for the two or more phases. Iridium and its oxide, rhodium and its oxide, and platinum and platinum-rhodium may also be used. A wet etchant comprising ceric ammonium nitrate and acetic acid may be used.
    Type: Grant
    Filed: July 15, 2002
    Date of Patent: July 20, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, Mark Visokay, Thomas M. Graettinger, Steven D. Cummings
  • Patent number: 6764916
    Abstract: A manufacturing method for a semiconductor device, including forming on or above a semiconductor substrate a silicon film a surface of which has a first polycrystalline silicon film with mushroom or hemisphere-shaped crystal grains, and forming a Ta2O5 film on the silicon film at a pressure of 40 Pa or lower and at a temperature of 480° C. or lower, using a gas obtained by vaporizing Ta(OC2H5)5 as a tantalum source gas.
    Type: Grant
    Filed: September 29, 1999
    Date of Patent: July 20, 2004
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Ryoichi Furukawa, Tadanori Yoshida, Masayuki Tsuneda, Yasuhiro Inokuchi, Satoru Tagami
  • Publication number: 20040137680
    Abstract: A silicon nitride film, having a greater selective ratio with respect to an interlayer insulating film than a resist film under a prescribed etching condition and harder to polish upon chemical mechanical polishing than the interlayer insulating film, is formed on the interlayer insulating film. This silicon nitride film is used as a hard mask to prevent reduction in height of the interlayer insulating film during chemical mechanical polishing for forming a capacitor lower electrode. The silicon nitride film is also used as an etching mask during etching for forming a hole.
    Type: Application
    Filed: July 8, 2003
    Publication date: July 15, 2004
    Applicant: RENESAS TECHNOLOGY CORP.
    Inventor: Akira Matsumura
  • Publication number: 20040129967
    Abstract: To form a bottom electrode of a capacitor of a semiconductor device, a first insulation layer pattern having a first contact hole is formed on a substrate, and a contact plug for the bottom electrode is formed in the contact hole. A second insulation layer is formed on the first insulation layer pattern and the contact plug. The second insulation layer has a second etching rate higher than a first etching rate of the first insulation layer pattern. The second insulation layer is etched to form a second insulation layer pattern having a second a contact hole exposing the contact plug. A conductive film is formed on the sidewall and the bottom face of the second contact hole. According to the difference between the first etching rate and the second etching rate, the etching of the first insulation layer pattern near the contact plug is reduced.
    Type: Application
    Filed: September 24, 2003
    Publication date: July 8, 2004
    Inventors: Si-Youn Kim, Ki-Jae Hur
  • Publication number: 20040129999
    Abstract: A semiconductor device having a variable capacitance capacitor and a method of manufacturing the same are disclosed. An example semiconductor device includes a capacitor having a bottom electrode, a dielectric layer and an upper electrode, formed on a semiconductor substrate. The example semiconductor also includes a first insulating layer formed on the semiconductor substrate to cover the capacitor, a first contact plug formed in a first via hole of the first insulating layer and electrically connected to the bottom and upper electrodes, a first metal wiring formed on the first insulating layer and connected to the bottom electrode through the first contact plug, a second contact plug formed on the first insulating layer and connected to the upper electrode through the first contact plug, and a second insulating layer formed on the first insulating layer to cover the first metal wiring and the second contact plug.
    Type: Application
    Filed: December 22, 2003
    Publication date: July 8, 2004
    Inventor: Kyung Yun Jung
  • Publication number: 20040132246
    Abstract: A method of forming a semiconductor device comprising: sequentially forming a supporting layer and a sacrificial layer over a semiconductor substrate; forming an opening by patterning the sacrificial layer and the supporting layer; forming a bottom electrode covering the inner wall and the bottom of the opening; removing the sacrificial layer by a wet etch process; and forming a dielectric layer and an upper electrode on the bottom electrode and the supporting layer, wherein the sacrificial layer is formed of a material having a faster wet etch rate than the supporting layer.
    Type: Application
    Filed: October 1, 2003
    Publication date: July 8, 2004
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Hong-Ki Kim, Jae-Hee Oh, Kwan-Young Youn
  • Publication number: 20040126965
    Abstract: In manufacturing a recessed gate transistor, a channel implantation and a source/drain implantation are performed by means of a single implantation mask prior to the formation of a gate opening. Thereafter, the gate opening is formed to a depth that extends substantially to the channel implant so that raised drain and source regions are created which are substantially even with the gate electrode formed in the gate opening. Consequently, expensive and complex epitaxial growth steps can be avoided.
    Type: Application
    Filed: June 30, 2003
    Publication date: July 1, 2004
    Inventors: Christian Krueger, Thomas Feudel, Volker Grimm
  • Patent number: 6756283
    Abstract: A honeycomb/webbed, high surface area capacitor formed by etching a storage poly using an etch mask having a plurality of micro vias. The etch mask is preferably formed by applying an HSG polysilicon layer on a surface of the storage poly with a mask layer being deposited over the HSG polysilicon layer. An upper portion of the mask layer is removed to expose the uppermost portions of the HSG polysilicon layer and the exposed HSG polysilicon layer portions are then etched, which translates the pattern of the exposed HSG polysilicon layer portions into the storage poly. The capacitor is completed by depositing a dielectric material layer over the storage poly layer and depositing a cell poly layer over the dielectric material layer.
    Type: Grant
    Filed: June 13, 2002
    Date of Patent: June 29, 2004
    Assignee: Micron Technology, Inc.
    Inventors: James E. Green, Darwin A. Clampitt
  • Patent number: 6756265
    Abstract: The invention includes a method of forming a capacitor electrode. A sacrificial material sidewall is provided to extend at least partially around an opening. A first silicon-containing material is formed within the opening to partially fill the opening, and is doped with conductivity-enhancing dopant. A second silicon-containing material is formed within the partially filled opening, and is provided to be less heavily doped with conductivity-enhancing dopant than is the first silicon-containing material. At least some of the second silicon-containing material is converted into hemispherical grain silicon, and at least some of the sacrificial material sidewall is removed. The invention also encompasses methods of forming capacitors and capacitor assemblies incorporating the above-described capacitor electrode. Further, the invention encompasses capacitor assemblies and capacitor structures.
    Type: Grant
    Filed: November 21, 2002
    Date of Patent: June 29, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Shenlin Chen, Er-Xuan Ping
  • Patent number: 6756267
    Abstract: A method of manufacturing a semiconductor device is provided. A polysilicon film and a rough-surfaced polysilicon film are formed on inter-layer insulating film including side and bottom surfaces of openings formed in inter-layer insulating film. A photoresist is formed on the rough-surfaced polysilicon film. The photoresist, the rough-surfaced polysilicon film and the polysilicon film that are located on the top surface of inter-layer insulating film are removed by the CMP method. The polysilicon film and rough-surfaced polysilicon film are etched in a predetermined atmosphere to make the position of the top end of storage nodes lower than the top surface of inter-layer insulating film.
    Type: Grant
    Filed: November 25, 2002
    Date of Patent: June 29, 2004
    Assignee: Renesas Technology, Inc.
    Inventors: Masahiro Shimizu, Takashi Miyajima, Toshinori Morihara
  • Patent number: 6756266
    Abstract: Exemplary embodiments of the present invention teach a structure and process for forming an array of storage capacitors by forming a first set of individual storage node plates, forming alternating storage node pillars, forming a second set of individual storage node plates, forming a cell dielectric material on individual storage node plates, and forming a second capacitor plate over the first and second sets of individual storage node plates. The resulting structure comprises generally parallel running conductive word lines, a first set of individual storage node plates, storage node pillars alternating with individual storage node plates of the first set of individual storage node plates, a second set of individual storage node plates, a cell dielectric material on the first and second sets of individual storage node plates, and a second capacitor plate overlying the first and second sets of individual storage node plates.
    Type: Grant
    Filed: January 7, 2003
    Date of Patent: June 29, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Er-Xuan Ping
  • Patent number: 6753226
    Abstract: Embodiments of the present invention include a method for manufacturing a semiconductor device, in which, when a DRAM and a MOS field effect transistor that becomes a component of a logic circuit are mix-mounted on the same chip, the DRAM and the MOS field effect transistor can be provided with designed performances. After a capacitor 700 of the DRAM is formed, silicide layers 19a and 19b are formed over N+ type source/drain regions 41c and 41d of MOS field effect transistors 200c, 200d and 200e that are located in peripheral circuit region 2000 and logic circuit region 3000.
    Type: Grant
    Filed: January 13, 2001
    Date of Patent: June 22, 2004
    Assignee: Seiko Epson Corporation
    Inventors: Hiroaki Tsugane, Hisakatsu Sato
  • Patent number: 6753618
    Abstract: An MIM capacitor with low leakage and high capacitance is disclosed. A layer of titanium nitride (TiN) or boron-doped titanium nitride (TiBN) material is formed as a lower electrode over an optional capacitance layer of hemispherical grained polysilicon (HSG). Prior to the dielectric formation, the first layer may be optionally subjected to a nitridization or oxidation process. A dielectric layer of, for example, aluminum oxide (Al2O3) formed by atomic layer deposition (ALD) is fabricated over the first layer and after the optional nitridization or oxidation process. An upper electrode of titanium nitride (TiN) or boron-doped titanium nitride (TiBN) is formed over the dielectric layer.
    Type: Grant
    Filed: March 11, 2002
    Date of Patent: June 22, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, Thomas M. Graettinger
  • Publication number: 20040110340
    Abstract: A method for manufacturing a semiconductor device wherein a cylindrical capacitor is formed by selectively etching an oxide film in a cell area for preventing bridging between cells during a wet etching process of the oxide film in the cell area is described herein. A step difference between the interlayer insulating film formed in the cell area and the interlayer insulating film formed in the peripheral circuit area is minimized by covering the peripheral circuit area by the photoresist film and selectively etching the oxide film in the cell area to form a cylindrical capacitor, thereby simplifying the manufacturing process. In addition, bridging between the cells is prevented by performing a simple wet etching process using a single wet station, without performing a separate dry etching process for removing the oxide film and the photoresist film pattern, thereby improving the yield of the device.
    Type: Application
    Filed: June 25, 2003
    Publication date: June 10, 2004
    Inventors: Gyu Hyun Kim, Hyo Geun Yoon, Geun Min Choi`
  • Patent number: 6746930
    Abstract: A memory cell container of a DRAM semiconductor memory device and method for manufacturing the cell container are disclosed. The cell includes a container formed in a structural layer such as borophosphosilicate glass. The container is then lined with a polysilicon such as hemispherical grained polysilicon. A dielectric layer is deposited over the polysilicon layer. A barrier layer is deposited over the dielectric layer such that the opening of the container is covered but not the sidewalls or the bottom of the container. The cell is then oxidized and the barrier layer provides protection as an oxygen barrier during the oxidation or any following re-oxidation process.
    Type: Grant
    Filed: July 11, 2001
    Date of Patent: June 8, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Sam Yang, Lingyi A. Zheng
  • Patent number: 6746913
    Abstract: A silicon oxide film on which a capacitor of a semiconductor integrated circuit device is formed is formed by the plasma CVD method at a temperature of 450° C. to 700° C. In this semiconductor integrated circuit device, a memory cell formed of a MISFET for data transfer and a capacitor is formed in a memory cell forming area, and an n channel MISFET and a p channel MISFET constituting a logic circuit is formed in a logic circuit forming area. As a result, the amount of degassing from the silicon oxide film can be reduced. Therefore, the growth of silicon grains on a surface of the silicon film constituting a lower electrode of the capacitor is not hindered by the degassing, and it becomes possible to increase the capacitance. Also, the step of a heat treatment for removing the moisture and the like after forming the silicon oxide film can be omitted, and it becomes possible to prevent the deterioration of the property of the MISFET.
    Type: Grant
    Filed: February 27, 2002
    Date of Patent: June 8, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Tsuyoshi Fujiwara, Takeshi Saikawa, Ryouichi Furukawa, Masato Kunitomo
  • Patent number: 6743641
    Abstract: The present invention provides a method of fabricating a portion of a memory cell, the method comprising providing a first conductor in a trench which is provided in an insulating layer and flattening an upper surface of the insulating layer and the first conductor, forming a material layer over the flattened upper surface of the insulating layer and the first conductor and flattening an upper portion of the material layer while leaving intact a lower portion of the material layer over the insulating layer and the first conductor.
    Type: Grant
    Filed: December 20, 2001
    Date of Patent: June 1, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Donald L. Yates, Joel A. Drewes
  • Patent number: 6740553
    Abstract: Disclosed are a capacitor for a semiconductor device capable of increasing storage capacitance and preventing leakage current, and a method of manufacturing the same. According to the present invention, a lower electrode is formed on a semiconductor substrate. A surface of the lower electrode is surface-treated to prevent generation of a natural oxide layer. A TaON layer as a dielectric layer is deposited on the lower electrode. Impurities of the TaON layer are crystallized and out-diffused. And an upper electrode is deposited on the TaON layer. Herein, the TaON layer is formed by a chemical vapor reaction of Ta obtained from O2 gas and NH3 gas in an LPCVD chamber to which O2 gas and NH3 gas are supplied at a pressure of 0.1˜10 Torr at a temperature of 300˜600° C., respectively.
    Type: Grant
    Filed: June 26, 2000
    Date of Patent: May 25, 2004
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Kee Jeung Lee, Il Keoun Han, Hong Seon Yan
  • Patent number: 6737366
    Abstract: A thin film having a low dielectric constant is formed on a semiconductor substrate by plasma reaction using a method including the steps of: (i) introducing a reaction gas into a reaction chamber for plasma CVD processing wherein a semiconductor substrate is placed on a lower stage; and (ii) forming a thin film on the substrate by plasma reaction while reducing or discharging an electric charge from the substrate surface. The discharging can be conducted by forming in the reaction chamber a upper region for plasma excitation and a lower region for film formation on the substrate wherein substantially no electric potential is applied in the lower region to suppress plasma excitation. An intermediate electrode is used to divide the interior of the reaction chamber into the upper region and the lower region. The discharge can also be conducted by lowering the temperature of the lower stage to condense moisture molecules on the substrate surface.
    Type: Grant
    Filed: December 20, 2002
    Date of Patent: May 18, 2004
    Assignee: ASM Japan K.K.
    Inventor: Nobuo Matsuki
  • Publication number: 20040092072
    Abstract: Arrangements having increased on-die capacitance.
    Type: Application
    Filed: November 7, 2002
    Publication date: May 13, 2004
    Inventor: Sarah E. Kim
  • Patent number: 6730563
    Abstract: A rough polysilicon film located on the upper surface of an interlayer film is removed by a CMP process, so that storage nodes and an embedded TEOS film are formed. The embedded TEOS film is removed concurrently with the interlayer film located in a memory cell region by etching. An opening end of a groove, the upper surface of the embedded TEOS film and the upper surface of the interlayer film are arranged on substantially the same plane. In the memory cell region and a peripheral circuit region, a substantially flat interlayer insulation film is obtained. This solves the problems of a step, falling and the like in a semiconductor device including a capacitor element.
    Type: Grant
    Filed: February 13, 2003
    Date of Patent: May 4, 2004
    Assignee: Renesas Technology Corp.
    Inventor: Akira Matsumura
  • Patent number: 6730574
    Abstract: The semiconductor device includes a MOSFET including a pair of impurity diffused regions formed on both sides of a gate formed on a semiconductor substrate; an insulation film covering a top of the MOSFET and having a through-hole opened on one of the impurity diffused regions formed in; and a capacitor formed at at least a part of an inside of the through-hole, the through-hole having a larger diameter inside than at a surface thereof or having a larger diameter at an intermediate part between the surface thereof and a bottom thereof than the surface and the bottom thereof.
    Type: Grant
    Filed: October 12, 2001
    Date of Patent: May 4, 2004
    Assignee: Fujitsu Limited
    Inventors: Taiji Ema, Tohru Anezaki, Junichi Mitani
  • Publication number: 20040079979
    Abstract: A method for manufacturing a trench capacitor that includes providing a semiconductor substrate, forming a deep trench in the substrate, forming a thin sacrificial layer on a surface of the trench, and forming a hemispherical silicon grain layer over the thin sacrificial layer, wherein the sacrificial layer has a thickness to act as an etch stop during a subsequent step to remove at least a portion of the hemispherical silicon grain layer, and is electrically conductive.
    Type: Application
    Filed: December 24, 2002
    Publication date: April 29, 2004
    Inventors: Yueh-Chuan Lee, Shih-Lung Chen
  • Publication number: 20040075132
    Abstract: The present invention relates to a capacitor of a semiconductor memory cell and a method of manufacturing the same wherein a capacitor includes a first insulation layer having a buried contact hole, formed on a semiconductor substrate, and a buried contact plug filling a portion of the buried contact hole. A diffusion barrier spacer is formed on an inner surface of the buried contact hole above the buried contact plug. A second insulation layer is formed, having a through hole larger than the buried contact hole, for exposing the diffusion barrier spacer and a top surface of the contact plug. A barrier layer is formed on the through hole and a lower electrode is formed on the barrier layer. A dielectric layer is formed on the lower electrode and an upper surface of the second insulation layer and an upper electrode is formed on the dielectric layer.
    Type: Application
    Filed: October 9, 2003
    Publication date: April 22, 2004
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Kong-Soo Lee
  • Publication number: 20040077142
    Abstract: Within a method for forming a capacitor within a microelectronic fabrication, there is employed a bilayer capacitor dielectric layer formed in part of an aluminum oxide dielectric material deposited employing an atomic layer deposition (ALD) method, and subsequently plasma treated. The aluminum oxide dielectric material deposited employing the atomic layer deposition (ALD) method and subsequently plasma treated provides for enhanced performance of the capacitor.
    Type: Application
    Filed: October 17, 2002
    Publication date: April 22, 2004
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Lan-Lin Chao, Chia-Shiung Tsai, Chun Chieh Lin
  • Patent number: 6723601
    Abstract: A semiconductor device for use in a memory cell including an active matrix provided with a silicon substrate, at least one transistor formed on the silicon substrate, a number of bottom electrodes formed over the transistors, a plurality of conductive plugs to electrically connect the bottom electrodes to the transistors, respectively, and an insulating layer formed around the conductive plugs. In the device, by carrying out a carbon treatment to top surface portions of the bottom electrode structure, it is possible to secure enough space to prevent the formation of bridges between the bottom electrodes.
    Type: Grant
    Filed: December 18, 2002
    Date of Patent: April 20, 2004
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Se-Min Lee, Dong-Hwan Kim, Keun-Il Lee
  • Patent number: 6723611
    Abstract: In the course of forming a trench capacitor or similar structure, the sidewalls of an aperture in a substrate are lined with a film stack containing a diffusion barrier; an upper portion of the outer layer is stripped, so that the upper and lower portions have different materials exposed; the lower portion of the film stack is stripped while the upper portion is protected by a hardmask layer; a diffusion step is performed in the lower portion while the upper portion is protected; and a selected material such as hemispherical grained silicon is deposited selectively on the lower portion while the exposed surface of the upper portion is a material on which the selected material forms poorly, so that the diffusing material penetrates and the selected material is formed only on the lower portion.
    Type: Grant
    Filed: September 10, 2002
    Date of Patent: April 20, 2004
    Assignee: International Business Machines Corporation
    Inventors: Hiroyuki Akatsu, Oleg Gluschenkov, Porshia S. Parkinson, Ravikumar Ramachandran, Helmut Horst Tews, Kenneth T. Settlemyer, Jr.
  • Patent number: 6723613
    Abstract: A method is disclosed for increasing the surface area of hemispherical-grain polysilicon and for forming a storage-node capacitor plate that can be used in the manufacture of dynamic random access memories (DRAMs). A layer of polycrystalline silicon is deposited on a substrate. This layer is either in-situ doped or doped after it is deposited via implantation or diffusion. Next, an amorphous silicon layer is deposited on top of the polycrystalline silicon layer. Hemispherical-grain (HSG) polysilicon seeds are then grown on the upper surface of the amorphous silicon layer using one of several known techniques. An anneal sequence is then performed in the presence of silane. An initial temperature of about 550° C. is maintained for about 3.5 minutes. At the end of that period, the temperature is ramped at a rate of 2° C. per minutes over a period of about 8 minutes. Upon reaching a temperature of about 568° C., that final temperature is maintained for an additional period of about 6 minutes.
    Type: Grant
    Filed: July 2, 2002
    Date of Patent: April 20, 2004
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Chin-Te Huang
  • Patent number: 6723602
    Abstract: A high dielectric constant memory cell capacitor and method for producing the same, wherein the memory cell capacitor utilizes relatively large surface area conductive structures of thin spacer width pillars or having edges without sharp corners that lead to electric field breakdown of the high dielectric constant material. The combination of high dielectric constant material in a memory cell along with a relatively large surface area conductive structure is achieved through the use of a buffer material as caps on the thin edge surfaces of the relatively large surface area conductive structures to dampen or eliminate the intense electric field which would be generated at the corners of the structures during the operation of the memory cell capacitor had the caps not been present.
    Type: Grant
    Filed: June 28, 2002
    Date of Patent: April 20, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Darwin A. Clampitt
  • Patent number: 6717202
    Abstract: A first silicon film is so formed as to extend along the inner surface of trenches 52 formed in a silicon oxide film 50, an oxide film is formed on the surface of the first silicon film, and a second amorphous silicon film is further deposited. Heat-treatment is applied to the surface of the second amorphous silicon film for seeding silicon nuclei and for promoting grain growth, and a granular silicon crystal 57 is grown from the second amorphous silicon film. In this way, the resistance of a lower electrode 59 of a capacitance device can be lowered.
    Type: Grant
    Filed: October 17, 2002
    Date of Patent: April 6, 2004
    Assignees: Renesas Technology Corp., Hitachi ULSI Systems Co., Ltd.
    Inventors: Yasuhiro Sugawara, Ryouichi Furukawa, Toshio Uemura, Akira Takamatsu, Hirohiko Yamamoto, Tadanori Yoshida, Masayuki Ishizaka, Shinpei Iljima, Yuzuru Ohji
  • Patent number: 6713339
    Abstract: The invention includes a switchable circuit device. The device comprises a first conductive layer and a porous silicon matrix over the first conductive layer. A material is dispersed within pores of the porous silicon matrix, and the material has two stable states. A second conductive layer is formed over the porous silicon matrix. A current flow between the first and second conductive layers is influenced by which of the stable states the material is in.
    Type: Grant
    Filed: June 21, 2002
    Date of Patent: March 30, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Terry L. Gilton
  • Patent number: 6709919
    Abstract: Novel capacitor top electrodes auto-self-aligned to bit-line regions is achieved with improved process yields. A first insulating layer is formed over the FETs, and a second insulating layer is deposited. Openings are etched for capacitors, and a novel photomask and etching are used to recess the second insulator. A first conducting layer is deposited for bottom electrodes, and a second photoresist is used to remove the first conducting layer on the top surfaces of the second insulating layer. A thin dielectric layer is deposited, and a second conducting layer is deposited, and polished back to form novel auto-self-aligned top electrodes to the second insulating layer for bit-line contact openings. This increases overlay margins, and the recessing of the second insulating layer in the first openings prevents polish-back damage to the bottom electrodes.
    Type: Grant
    Filed: May 15, 2002
    Date of Patent: March 23, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Kuo-Chi Tu
  • Publication number: 20040053463
    Abstract: A rough polysilicon film located on the upper surface of an interlayer film is removed by a CMP process, so that storage nodes and an embedded TEOS film are formed. The embedded TEOS film is removed concurrently with the interlayer film located in a memory cell region by etching. An opening end of a groove, the upper surface of the embedded TEOS film and the upper surface of the interlayer film are arranged on substantially the same plane. In the memory cell region and a peripheral circuit region, a substantially flat interlayer insulation film is obtained. This solves the problems of a step, falling and the like in a semiconductor device including a capacitor element.
    Type: Application
    Filed: February 13, 2003
    Publication date: March 18, 2004
    Applicant: MITSUBISHI DENKI KABUSHIKI KAISHA
    Inventor: Akira Matsumura
  • Patent number: 6706589
    Abstract: A method for forming a capacitor with metal armatures in metallization levels above an integrated circuit, including the steps of: depositing over the surface of an integrated circuit an insulating layer having a thickness ranging between 0.5 and 1.5 &mgr;m; digging into the insulating layer to form trenches, of which at least a portion in top view is parallel and separate from one trench to the other; depositing and leveling a metallic material to form conductive lines in the trenches; locally removing the insulating layer to remove it at least from all the intervals separating two conductive lines; conformally depositing a dielectric; and depositing and etching a second metallic material to at least completely fill the intervals between lines.
    Type: Grant
    Filed: August 17, 2001
    Date of Patent: March 16, 2004
    Assignee: STMicroelectronics S.A.
    Inventors: Vincent Arnal, Joaquim Torres
  • Patent number: 6706591
    Abstract: A process for forming a DRAM stacked capacitor structure with increased surface area, has been developed. The process features forming lateral grooves in the sides of a polysilicon storage node structure, during a dry etching procedure used to define the storage node structure. The grooves are selectively, and laterally formed in ion implanted veins, which in turn had been placed at various depths in an intrinsic polysilicon layer via a series of ion implantation steps, each performed at a specific implant energy. An isotopic component of the storage node structure, defining dry etch procedure, selectively etches the highly doped, ion implanted veins at a greater rate than the non-ion implanted regions of polysilicon, located between the ion implanted veins, resulting in a necked profile, storage node structure, featuring increase surface area as a result of the formation of the lateral grooves.
    Type: Grant
    Filed: January 22, 2002
    Date of Patent: March 16, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Bor-Wen Chan, Huan-Just Lin, Hun-Jan Tao
  • Patent number: 6706587
    Abstract: Method for forming buried plates. The method includes providing a substrate formed with a pad stacked layer on the surface, a bottle trench and a protective layer on the upper sidewalls of the bottle trench, forming a doped hemispherical silicon grain (HSG) layer on the protective layer and the sidewalls and bottom of the bottle trench, removing the hemispherical silicon grain layer on the protective layer without removing the hemispherical silicon grain layer from the lower sidewalls and bottom of the bottle trench, forming a covering layer on the protective layer, and subjecting the doped hemispherical silicon grain layer to drive-in annealing so that ions in the HSG layer diffuse out to the substrate, thereby forming a buried plate within the lower sidewalls of the bottle trench.
    Type: Grant
    Filed: April 3, 2003
    Date of Patent: March 16, 2004
    Assignee: Nanya Technology Corporation
    Inventors: Tzu-Ching Tsai, Hui Min Mao, Ying Huan Chuang
  • Patent number: 6699752
    Abstract: The present invention provides methods of forming in situ doped rugged silicon and semiconductor devices incorporating conductive rugged silicon. In one aspect, the methods involve forming a layer of amorphous silicon on a substrate at a substantially constant deposition temperature; and converting the layer of amorphous silicon into hemispherical grain silicon by subjecting the layer of amorphous silicon to substantially the deposition temperature while varying pressure.
    Type: Grant
    Filed: December 19, 2002
    Date of Patent: March 2, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Er-Xuan Ping, Randhir Thakur
  • Patent number: 6699745
    Abstract: A rugged polysilicon electrode for a capacitor has high surface area enhancement with a thin layer by high nucleation density plus gas phase doping which also enhances grain shape and oxygen-free dielectric formation.
    Type: Grant
    Filed: March 27, 1998
    Date of Patent: March 2, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Aditi Banerjee, Rick L. Wise, Darius L. Crenshaw
  • Publication number: 20040033662
    Abstract: Methods of forming integrated circuit capacitors include the steps of forming a lower electrode of a capacitor by forming a conductive layer pattern (e.g., silicon layer) on a semiconductor substrate and then forming a hemispherical grain (HSG) silicon surface layer of first conductivity type on the conductive layer pattern. The inclusion of a HSG silicon surface layer on an outer surface of the conductive layer pattern increases the effective surface area of the lower electrode for a given lateral dimension. The HSG silicon surface layer is also preferably sufficiently doped with first conductivity type dopants (e.g., N-type) to minimize the size of any depletion layer which may be formed in the lower electrode when the capacitor is reverse biased and thereby improve the capacitor's characteristic Cmin/Cmax ratio. A diffusion barrier layer (e.g., silicon nitride) is also formed on the lower electrode and then a dielectric layer is formed on the diffusion barrier layer.
    Type: Application
    Filed: August 5, 2003
    Publication date: February 19, 2004
    Inventors: Seung-Hwan Lee, Sang-Hyeop Lee, Young-Sun Kim, Se-Jin Shim, You-Chan Jin, Ju-Tae Moon, Jin-Seok Choi, Young-Min Kim, Kyung-Hoon Kim, Kab-Jin Nam, Young-Wook Park, Seok-Jun Won, Young-Dae Kim
  • Patent number: 6689668
    Abstract: Various methods are provided of forming capacitor electrodes for integrated circuit memory cells in which out-diffusion of dopant from doped silicon layers is controlled by deposition of barrier layers, such as layers of undoped silicon and/or oxide. In one aspect, a method of forming hemispherical grain silicon on a substrate is provided that includes forming a first doped silicon layer on the substrate and a first barrier layer on the doped silicon layer. A hemispherical grain polysilicon source layer is formed on the first barrier layer and a hemispherical grain silicon layer on the hemispherical grain polysilicon source layer. By controlling out-diffusion of dopant, HSG grain size, density and uniformity, as well as DRAM memory cell capacitance, may be enhanced, while at the same time maintaining reactor throughput.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: February 10, 2004
    Assignees: Samsung Austin Semiconductor, L.P., Samsung Electronics Co., Ltd.
    Inventors: Mohamed el-Hamdi, Tony T. Phan, Luther Hendrix, Bradley T. Moore
  • Patent number: 6686668
    Abstract: A bitline contact and method of forming bitline contact for a vertical DRAM array using a bitline contact mask. In the method, gate conductor lines are formed. An oxide layer is deposited over the gate conductor lines, and a bitline contact mask is formed over portions of the oxide layer. The bitline contact mask is etched, and a silicon layer is deposited on the substrate. A bitline layer is deposited on the silicon layer. A masking and etching operation is performed on the bitline layer. A M0 metal is deposited over the silicon layer and on sides of non etched portions of the bitline (M0) layer to form left and right bitlines.
    Type: Grant
    Filed: January 17, 2001
    Date of Patent: February 3, 2004
    Assignees: International Business Machines Corporation, Infineon Technologies North America Corp.
    Inventors: Larry A. Nesbit, Johnathan E. Faltermeier, Ramachandra Divakaruni, Wolfgang Bergner
  • Patent number: 6686234
    Abstract: The method for fabricating a semiconductor device comprises the steps of: forming a silicon film on an insulation film; forming on the silicon film a rugged polycrystalline silicon film having a rugged surface; and etching the rugged polycrystalline silicon film and the silicon film in a region where concavities on the surface of the rugged polycrystalline silicon film are formed under etching conditions which make the deposition relatively strong with respect to the etching to thereby deepen the concavities. Accordingly, the etching back of the rugged polycrystalline silicon film does not decrease a capacitance and, to the contrary, can increase the capacitance. Furthermore, it is not necessary to secure a capacitance that the silicon film for forming the storage electrode is thicker in advance, which makes the fabrication process simple.
    Type: Grant
    Filed: August 3, 2000
    Date of Patent: February 3, 2004
    Assignee: Fujitsu Limited
    Inventor: Manabu Hayashi
  • Patent number: 6682969
    Abstract: An improved charge storing device and methods for providing the same, the charge storing device comprising a conductor-insulator-conductor (CIC) sandwich. The CIC sandwich comprises a first conducting layer deposited on a semiconductor integrated circuit. The CIC sandwich further comprises a first insulating layer deposited over the first conducting layer in a flush manner. The first insulating layer comprises a structure having a plurality of oxygen cites and a plurality of oxygen atoms that partially fill the oxygen cites, wherein the unfilled oxygen cites define a concentration of oxygen vacancies.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: January 27, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, Howard E. Rhodes, Gurtej Sandhu, F. Daniel Gealy, Thomas M. Graettinger
  • Publication number: 20040014279
    Abstract: The invention provides robust and cost effective techniques to fabricate double-sided HSG electrodes for container capacitors. In one embodiment, this is accomplished by forming a layer of hemispherical silicon grain (HSG) polysilicon over interior surfaces of a container formed in a substrate. A barrier layer is then formed over the formed HSG polysilicon layer. Any HSG polysilicon and barrier layers formed over the substrate and around the container opening during the forming of the HSG polysilicon and barrier layers is then removed. A portion of outside surfaces of the formed HSG polysilicon is then exposed by removing the substrate, while the barrier layer is still on the interior surface of the container to prevent formation of sink holes and to prevent stringer problems during removal of the substrate. The barrier layer is then removed to expose the interior surfaces of the HSG polysilicon to form the double-sided HSG electrode.
    Type: Application
    Filed: July 18, 2002
    Publication date: January 22, 2004
    Applicant: Micron Technology, Inc.
    Inventor: Lingyi A. Zheng
  • Patent number: 6677217
    Abstract: The effective area of a MIM capacitor is increased by forming a lower electrode that includes hemispherical grain lumps. The hemispherical grain lumps are formed by heat-treating a metal layer in an oxygen and/or nitrogen atmosphere, thus oxidizing the surface of the metal layer or growing the crystal grains of the metal layer. The MIM capacitor may be formed of Pt, Ru, Rh, Os, Ir, or Pd, and the hemispherical grain lumps may be formed of Pt, Ru, Rh, Os, Ir, or Pd. Since the metal layer is primarily heat-treated during the formation of the lower electrode, it is possible to reduce the degree to which the surface morphology of the lower electrode is rapidly changed due to a heat treatment subsequent to forming a dielectric layer and an upper electrode.
    Type: Grant
    Filed: June 26, 2002
    Date of Patent: January 13, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-hyun Joo, Wan-don Kim, Seok-jun Won, Soon-yeon Park
  • Publication number: 20040005757
    Abstract: The present invention provides a cylindrically shaped stack electrode having a lamination structure which comprises a cylindrically shaped outer layer and a cylindrically shaped inner layer laminated on an inner wall of said cylindrically shaped outer layer, wherein hemispherical grains are formed on an inner wall of said cylindrically shaped inner layer. The cylindrically shaped stack electrode has the lamination structure of a plurality of layers.
    Type: Application
    Filed: March 10, 2003
    Publication date: January 8, 2004
    Applicant: NEC ELECTRONICS CORPORATION
    Inventor: Hiroyuki Kitamura