Including Passive Device (e.g., Resistor, Capacitor, Etc.) Patents (Class 438/238)
  • Patent number: 11895818
    Abstract: Embodiments of present invention provide a SRAM device. The SRAM device includes a first, a second, and a third SRAM cell each having a first and a second pass-gate (PG) transistor, wherein the second PG transistor of the second SRAM cell and the first PG transistor of the first SRAM cell are stacked in a first PG transistor cell, and the first PG transistor of the third SRAM cell and the second PG transistor of the first SRAM cell are stacked in a second PG transistor cell. The first and second PG transistors of the first SRAM cell may be stacked on top of, or underneath, the second PG transistor of the second SRAM cell and/or the first PG transistor of the third SRAM cell.
    Type: Grant
    Filed: April 26, 2022
    Date of Patent: February 6, 2024
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Carl Radens, Junli Wang, Ravikumar Ramachandran, Julien Frougier, Dechao Guo
  • Patent number: 11818966
    Abstract: Provided are a resistive random access memory and a manufacturing method thereof. The resistive random access memory includes a substrate having a pillar protruding from a surface of the substrate, a gate surrounding a part of a side surface of the pillar, a gate dielectric layer, a first electrode, a second electrode, a variable resistance layer, a first doped region and a second doped region. The gate dielectric layer is disposed between the gate and the pillar. The first electrode is disposed on a top surface of the pillar. The second electrode is disposed on the first electrode. The variable resistance layer is disposed between the first electrode and the second electrode. The first doped region is disposed in the pillar below the gate and in a part of the substrate below the pillar. The second doped region is disposed in the pillar between the gate and the first electrode.
    Type: Grant
    Filed: December 3, 2021
    Date of Patent: November 14, 2023
    Assignee: United Microelectronics Corp.
    Inventors: Yi Yu Lin, Po Kai Hsu, Chun-Hao Wang, Yu-Ru Yang, Ju Chun Fan, Chung Yi Chiu
  • Patent number: 11787180
    Abstract: A microfluidic device including a fluid ejection channel defined by a fluid barrier and an orifice, or nozzle, for containing and/or passing fluids, and further including micro-electromechanical systems (MEMS) and/or electronic circuitry may be fabricated on a silicon substrate and included in a fluid ejection system. Various microfabrication techniques used for fabricating semiconductor devices may be used to manufacture such microfluidic devices.
    Type: Grant
    Filed: April 29, 2019
    Date of Patent: October 17, 2023
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Stanley J. Wang, Anthony M. Fuller
  • Patent number: 11716859
    Abstract: A semiconductor device including a semiconductor substrate and an interconnect structure is provided. The semiconductor substrate includes a transistor, wherein the transistor has a source region and a drain region. The interconnect structure is disposed over the semiconductor substrate, wherein the interconnect structure includes a plurality of interlayer dielectric layers, a first via and a memory cell. The plurality of interlayer dielectric layers are over the semiconductor substrate. The first via is embedded in at least two interlayer dielectric layers among the plurality of interlayer dielectric layers and electrically connected with the drain region of the transistor. The memory cell is disposed over the at least two interlayer dielectric layers among the plurality of interlayer dielectric layers and electrically connected with the first via.
    Type: Grant
    Filed: May 13, 2021
    Date of Patent: August 1, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Carlos H. Diaz, Shy-Jay Lin, Ming-Yuan Song
  • Patent number: 11653506
    Abstract: A memory device is provided with a support and several superimposed levels of resistive memory cells formed on the support, each level having one or more rows of one or more resistive memory cell(s), each resistive memory cell having a variable resistance memory element formed by an area of variable resistivity material arranged between a first electrode and a second electrode. The memory element is connected to a source region or drain region of a control transistor, the control transistor being formed in a given semiconductor layer of a stack of semiconductor layers formed on the support and wherein respective channel regions of respective control transistors of resist memory cells are arranged.
    Type: Grant
    Filed: March 26, 2019
    Date of Patent: May 16, 2023
    Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventor: François Andrieu
  • Patent number: 11615965
    Abstract: A method includes depositing a mask layer over a semiconductor substrate, etching the mask layer to form a patterned mask, wherein a sidewall of the patterned mask includes a first sidewall region, a second sidewall region, and a third sidewall region, wherein the first sidewall region is farther from the semiconductor substrate than the second sidewall region and the second sidewall region is farther from the semiconductor substrate than the third sidewall region, wherein the second sidewall region protrudes laterally from the first sidewall region and from the third sidewall region, etching the semiconductor substrate using the patterned mask to form fins, forming a gate stack over the fins, and forming source and drain regions in the fin adjacent the gate stack.
    Type: Grant
    Filed: September 4, 2020
    Date of Patent: March 28, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Chih-Yu Wang
  • Patent number: 11610964
    Abstract: A method of manufacturing a capacitor structure of memory, including forming a patterned photoresist layer on a hard mask layer and spacers on sidewalls of the patterned photoresist layer, perform a first etch process to remove uncovered hard mask layer so as to form first patterned hard mask layer and expose first portion of the dielectric layer, lowering a surface of the first portion of dielectric layer, perform a second etch process to remove uncovered first patterned hard mask layer so as to form second patterned hard mask layer and expose second portion of the dielectric layer, and performing a hole etching process to form first holes and second holes respectively in the first portion and the second portion of dielectric layer, wherein sidewalls of the first holes and second holes have wavelike cross-sections, and the wavelike cross-sections of first holes and second holes are shifted vertically by a distance.
    Type: Grant
    Filed: June 13, 2022
    Date of Patent: March 21, 2023
    Assignee: Powerchip Semiconductor Manufacturing Corporation
    Inventor: Kai-Jyun Huang
  • Patent number: 11575084
    Abstract: A variable resistance memory device includes a variable resistance layer, a first conductive element, and a second conductive element. The variable resistance layer includes a first layer and a second layer. The first layer is formed of a first material. The second layer is on the first layer and formed of a second material having a density different from a density of the first material. The first conductive element and a second conductive element are located on the variable resistance layer and spaced apart from each other in order to form a current path in the variable resistance layer. The current path is in a direction perpendicular to a direction in which the first layer and the second layer are stacked.
    Type: Grant
    Filed: August 21, 2020
    Date of Patent: February 7, 2023
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seyun Kim, Jinhong Kim, Soichiro Mizusaki, Jungho Yoon, Youngjin Cho
  • Patent number: 11563056
    Abstract: A semiconductor memory device disposed over a substrate includes a common electrode, a selector material layer surrounding the common electrode, and a plurality of phase change material layers in contact with the selector material layer.
    Type: Grant
    Filed: March 15, 2021
    Date of Patent: January 24, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: Jau-Yi Wu
  • Patent number: 11557655
    Abstract: In a method for forming a semiconductor device, a layer of logic devices is formed on a substrate. The layer of logic devices includes a stack of gate-all-around field-effect transistors (GAA-FETs) positioned over the substrate, where the stack of GAA-FETs includes a first layer of GAA-FETs stacked over a second layer of GAA-FETs. A first wiring layer is formed over the layer of logic devices, where the first wiring layer includes one or more metal routing levels. A memory stack is formed over the first wiring layer. The memory stack includes wordline layers and insulating layers that alternatingly arranged over the first wiring layer. A three-dimensional (3D) NAND memory device is formed in the memory stack. The 3D NAND memory device includes a channel structure that extends into the memory stack and further is coupled to the wordline layers of the memory stack.
    Type: Grant
    Filed: March 23, 2020
    Date of Patent: January 17, 2023
    Assignee: Tokyo Electron Limited
    Inventors: H. Jim Fulford, Mark Gardner
  • Patent number: 11521800
    Abstract: A capacitor that includes a substrate having a first principal surface and a second principal surface, a lower electrode on the first principal surface, a dielectric film on the lower electrode, and an upper electrode on the dielectric film, wherein at least one of the lower electrode and the upper electrode has, in plan view of the first principal surface, a first region having a rectangular shape, and at least one second region protruding from at least one side of the first region.
    Type: Grant
    Filed: April 16, 2019
    Date of Patent: December 6, 2022
    Assignee: MURATA MANUFACTURING CO., LTD.
    Inventors: Takeshi Kagawa, Junko Izumitani, Masatomi Harada, Nobuhiro Ishida
  • Patent number: 11404635
    Abstract: Memory stacks and method of forming the same are provided. A memory stack includes a bottom electrode layer, a top electrode layer and a phase change layer between the bottom electrode layer and the top electrode layer. A width of the top electrode layer is greater than a width of the phase change layer. A first portion of the top electrode layer uncovered by the phase change layer is rougher than a second portion of the top electrode layer covered by the phase change layer.
    Type: Grant
    Filed: January 19, 2020
    Date of Patent: August 2, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tung-Ying Lee, Shao-Ming Yu, Yu-Chao Lin
  • Patent number: 11393782
    Abstract: A semiconductor device is provided with a semiconductor chip. The semiconductor chip has a semiconductor substrate, an interconnect layer, an inductor and conductive pads (first pads). The interconnect layer is provided on the semiconductor substrate. The interconnect layer includes the inductor. The pads are provided on the interconnect layer. The pads are provided in a region within a circuit forming region of the semiconductor chip, which does not overlap the inductor.
    Type: Grant
    Filed: July 7, 2017
    Date of Patent: July 19, 2022
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventor: Yasutaka Nakashiba
  • Patent number: 11393897
    Abstract: A capacitor structure of memory is provided in the present invention, including structures of multiple cylindrical bottom electrode layers with bottoms contacting a substrate and extending vertically and upwardly from the substrate, the cylindrical shape of the bottom electrode layer has a sidewall with wavelike cross-section, and the wavelike cross-sections of adjacent bottom electrode layers are identical but shifted vertically by a distance, a capacitive dielectric layer on the bottom electrode layers, and a top electrode layer on the capacitive dielectric layer.
    Type: Grant
    Filed: March 15, 2021
    Date of Patent: July 19, 2022
    Assignee: Powerchip Semiconductor Manufacturing Corporation
    Inventor: Kai-Jyun Huang
  • Patent number: 11355497
    Abstract: A semiconductor device includes a memory cell storing data. The memory cell capacitor includes a plurality of bottom electrodes on a substrate and extending in a vertical direction with respect to a top surface of the substrate, the plurality of bottom electrodes being spaced apart from each other in a first direction parallel to the top surface of the substrate, an upper support pattern on upper lateral surfaces of the plurality of bottom electrodes, and a lower support pattern on lower lateral surfaces of the plurality of bottom electrodes. The lower support pattern is disposed between the substrate and the upper support pattern, and a first bottom electrode of the plurality of bottom electrodes includes a first recess adjacent to a bottom surface of the lower support pattern.
    Type: Grant
    Filed: October 22, 2020
    Date of Patent: June 7, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Yoonho Son, Suklae Kim, Sejin Park, Seungjoong Shin, Hyuewon Lee
  • Patent number: 11276642
    Abstract: Embodiments of methods and structures for forming a 3D integrated wiring structure are disclosed. The method can include forming an insulating layer on a front side of a first substrate; forming a semiconductor layer on a front side of the insulating layer; patterning the semiconductor layer to expose at least a portion of a surface of the insulating layer; forming a plurality of semiconductor structures over the front side of the first substrate, wherein the semiconductor structures include a plurality of conductive contacts and a first conductive layer; joining a second substrate with the semiconductor structures; performing a thinning process on a backside of the first substrate to expose the insulating layer and one end of the plurality of conductive contacts; and forming a conductive wiring layer on the exposed insulating layer.
    Type: Grant
    Filed: August 18, 2020
    Date of Patent: March 15, 2022
    Assignee: Yangtze Memory Technologies Co., Ltd.
    Inventors: Jifeng Zhu, Jun Chen, Si Ping Hu, Zhenyu Lu
  • Patent number: 11187889
    Abstract: A spatial light modulator (SLM) is provided that includes an optical resonator (i.e., pixel) having nanoscale size. The optical resonator having nanoscale size includes a phase-change material such as, for example, a GeSbTe alloy, sandwiched between silicon nitride cladding layers. The phase-change material can undergo a crystalline-to-amorphous phase transition which is characterized by a large change in optical properties of the resonator.
    Type: Grant
    Filed: April 29, 2019
    Date of Patent: November 30, 2021
    Assignee: International Business Machines Corporation
    Inventors: Abram L. Falk, Jessie C. Rosenberg, Damon B. Farmer, William Green
  • Patent number: 11169730
    Abstract: Methods, systems, and devices for scrub rate control for a memory device are described. For example, during a scrub operation, a memory device may perform an error correction operation on data read from a memory array of the memory device. The memory device may determine a quantity of errors detected or corrected during the scrub operation and determine a condition of the memory array based on the quantity of errors. The memory device may indicate the determined condition of the memory array to a host device. In some cases, the memory device may perform scrub operations based on one or more condition of the memory array. For example, as a condition of the memory array deteriorates, the memory device may perform scrub operations at an increased rate.
    Type: Grant
    Filed: June 6, 2019
    Date of Patent: November 9, 2021
    Assignee: Micron Technology, Inc.
    Inventors: Aaron P. Boehm, Debra M. Bell
  • Patent number: 11107687
    Abstract: Provided is a semiconductor epitaxial wafer in which the concentration of hydrogen in a modifying layer can be maintained at a high level and the crystallinity of an epitaxial layer is excellent. A semiconductor epitaxial wafer has a semiconductor wafer, a modifying layer formed in a surface portion of the semiconductor wafer, which modifying layer has hydrogen contained as a solid solution in the semiconductor wafer, and an epitaxial layer formed on the modifying layer. The concentration profile of hydrogen in the modifying layer in the thickness direction from a surface of the epitaxial layer is a double peak concentration profile including a first peak shallower in the depth direction and a second peak deeper in the depth direction.
    Type: Grant
    Filed: June 12, 2018
    Date of Patent: August 31, 2021
    Assignee: SUMCO CORPORATION
    Inventor: Ryosuke Okuyama
  • Patent number: 11094697
    Abstract: Some embodiments include a memory cell having first and second transistors, and a capacitor vertically displaced relative to the first and second transistors. The capacitor has a first node electrically coupled with a source/drain region of the first transistor, a second node electrically coupled with a source/drain region of the second transistor, and capacitor dielectric material between the first and second nodes. Some embodiments include a memory cell having first and second transistors vertically displaced relative to one another, and a capacitor between the first and second transistors. The capacitor has a first node electrically coupled with a source/drain region of the first transistor, a second node electrically coupled with a source/drain region of the second transistor, and capacitor dielectric material between the first and second nodes.
    Type: Grant
    Filed: November 7, 2018
    Date of Patent: August 17, 2021
    Assignee: Micron Technology, Inc.
    Inventors: Gloria Yang, Suraj J. Mathew, Raghunath Singanamalla, Vinay Nair, Scott J. Derner, Michael Amiel Shore, Brent Keeth, Fatma Arzum Simsek-Ege, Diem Thy N. Tran
  • Patent number: 11043397
    Abstract: First and second mask layers are formed on a target layer. The second mask layer is patterned to form second mask patterns each of which having a rhomboid shape with a first diagonal length and a second diagonal length. A trimming process is performed on the second mask patterns to form second masks by etch. First portions of first opposite vertices of each second mask pattern are etched more than second portions of second opposite vertices of each second mask pattern. A first diagonal length between the first opposite vertices is greater than a second diagonal length between the second opposite vertices. The first mask layer is patterned to form first masks by etching the first mask layer using the second masks as an etching mask. The target layer is patterned to form target patterns by etching the target layer using the first masks as an etching mask.
    Type: Grant
    Filed: July 12, 2019
    Date of Patent: June 22, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Myeong-Dong Lee, Min-Su Choi, Jun-Hyeok Ahn, Sung-Hee Han, Ce-Ra Hong
  • Patent number: 11043566
    Abstract: A semiconductor device is provided that includes a substrate, an active region, a pair of gates, a plurality of semiconductor structures and a plurality of pillar structures. The active region is over the substrate. The pair of gates is formed over the active region, and each gate of the pair of gates includes a gate structure and a pair of spacer structures disposed on sidewalls of the gate structure. The plurality of semiconductor structures is arranged between the pair of gates in an alternating arrangement configuration having a first width and a second width. The first width is substantially equal to a width of the gate structure. The plurality of semiconductor structures is separated by the plurality of pillar structures.
    Type: Grant
    Filed: October 10, 2019
    Date of Patent: June 22, 2021
    Assignee: GLOBALFOUNDRIES U.S. Inc.
    Inventors: Jiehui Shu, Judson Robert Holt, Sipeng Gu, Haiting Wang
  • Patent number: 11038033
    Abstract: The present subject matter relates to an integrated circuit. The integrated circuit includes a first metal layer and a second metal layer capacitively coupled to the first metal layer through a dielectric layer. Further, the second metal layer includes an electron leakage path to provide for leakage of charge from the second metal layer in a predetermined leak time period.
    Type: Grant
    Filed: April 30, 2014
    Date of Patent: June 15, 2021
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Reynaldo V Villavelez, Ning Ge, Mun Hooi Yaow, Erik D Ness, David B Novak
  • Patent number: 10777456
    Abstract: Embodiments of systems and methods for semiconductor back end of line (BEOL) interconnect using multiple materials in a fully self-aligned via (FSAV) process. In an embodiment, a method includes receiving a substrate with a patterned structure formed on a surface of the substrate. A method may also include depositing a first interconnect material in a first region of the patterned structure. Such methods may also include depositing a second interconnect material in a second region of the patterned structure, wherein the first interconnect material is different from the second interconnect material, and wherein the first region and the second region include a common layer of the patterned structures.
    Type: Grant
    Filed: March 18, 2019
    Date of Patent: September 15, 2020
    Assignee: Tokyo Electron Limited
    Inventors: Hirokazu Aizawa, Kaoru Maekawa
  • Patent number: 10720577
    Abstract: Variable resistance memory devices are provided. A variable resistance memory device includes conductive lines and a memory cell including a variable resistance element on one of the conductive lines. The variable resistance memory device includes a first insulating region between the conductive lines. Moreover, the variable resistance memory device includes a second insulating region on the first insulating region between the conductive lines. Methods of forming variable resistance memory devices are also provided.
    Type: Grant
    Filed: January 4, 2018
    Date of Patent: July 21, 2020
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Shin-Jae Kang, Gyuhwan Oh, Jiyoon Chung, Junyeon Hwang
  • Patent number: 10707271
    Abstract: The present disclosure includes memory cells having resistors, and methods of forming the same. An example method includes forming a first conductive line, forming a second conductive line, and forming a memory element between the first conductive line and the second conductive line. Forming the memory element can include forming one or more memory materials, and forming a resistor in series with the one or more memory materials. The resistor can be configured to reduce a capacitive discharge through the memory element during a state transition of the memory element.
    Type: Grant
    Filed: December 11, 2018
    Date of Patent: July 7, 2020
    Assignee: Micron Technology, Inc.
    Inventors: Fabio Pellizzer, Andrea Redaelli, Agostino Pirovano, Innocenzo Tortorelli
  • Patent number: 10632746
    Abstract: Methods and apparatuses for controlling aerosol streams being deposited onto a substrate via pneumatic shuttering. The aerosol stream is surrounded and focused by an annular co-flowing sheath gas in the print head of the apparatus. A boost gas flows to a vacuum pump during printing of the aerosol. A valve adds the boost gas to the sheath gas at the appropriate time, and a portion of the two gases is deflected in a direction opposite to the aerosol flow direction to at least partially prevent the aerosol from passing through the deposition nozzle. Some or all of the aerosol is combined with that portion of the boost gas and sheath gas and is exhausted from the print head. By precisely balancing the flows into and out of the print head, maintaining the flow rates of the aerosol and sheath gas approximately constant, and keeping the boost gas flowing during both printing and shuttering, the transition time between printing and partial or full shuttering of the aerosol stream is minimized.
    Type: Grant
    Filed: November 13, 2018
    Date of Patent: April 28, 2020
    Assignee: Optomec, Inc.
    Inventors: Kurt K. Christenson, Michael J. Renn, Jason A. Paulsen, John David Hamre, Chad Conroy, James Q. Feng
  • Patent number: 10608076
    Abstract: A system and method for fabricating metal insulator metal capacitors while managing semiconductor processing yield and increasing capacitance per area are described. A semiconductor device fabrication process places a polysilicon layer on top of an oxide layer which is on top of a metal layer. The process etches trenches into areas of the polysilicon layer where the repeated trenches determine a frequency of an oscillating wave structure to be formed later. The top and bottom corners of the trenches are rounded. The process deposits a bottom metal, a dielectric, and a top metal on the polysilicon layer both on areas with the trenches and on areas without the trenches. A series of a barrier metal and a second polysilicon layer is deposited on the oscillating structure. The process completes the MIM capacitor with metal nodes contacting each of the top metal and the bottom metal of the oscillating structure.
    Type: Grant
    Filed: March 22, 2017
    Date of Patent: March 31, 2020
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Richard T. Schultz
  • Patent number: 10566334
    Abstract: Integrated circuitry comprises a first conductive line buried within semiconductive material of a substrate. The first conductive line comprises conductively-doped semiconductor material directly above and directly against metal material in a vertical cross-section. A second conductive line is above the semiconductive material and is laterally-spaced from the first conductive line in the vertical cross-section. The second conductive line comprises metal material in the vertical cross-section. Insulative material is directly above the first and second conductive lines. A first conductive via extends through the insulative material and through the conductively-doped semiconductor material to the metal material of the first conductive line. A second conductive via extends through the insulative material to the metal material of the second conductive line. Other embodiments and aspects, including method, are disclosed.
    Type: Grant
    Filed: May 11, 2018
    Date of Patent: February 18, 2020
    Assignee: Micron Technology, Inc.
    Inventor: Si-Woo Lee
  • Patent number: 10497788
    Abstract: Provided are semiconductor devices and fabricating methods thereof. The semiconductor device includes a field insulating layer formed in a substrate, an interlayer dielectric layer formed on the field insulating layer and including a trench exposing at least a portion of the field insulating layer, a deposition insulating layer formed in the trench to be disposed on the field insulating layer, a gate insulating layer formed the trench to be disposed on the deposition insulating layer, and a metal gate formed the trench on the gate insulating layer.
    Type: Grant
    Filed: April 2, 2018
    Date of Patent: December 3, 2019
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Ju-Youn Kim
  • Patent number: 10483462
    Abstract: Providing for improved manufacturing of silver-based electrodes to facilitate formation of a robust metallic filament for a resistive switching device is disclosed herein. By way of example, a silver electrode can be embedded with a non-silver material to reduce surface energy of silver atoms of a silver-based conductive filament, increasing structural strength of the conductive filament within a resistive switching medium. In other embodiments, an electrode formed of a base material can include silver material to provide mobile particles for an adjacent resistive switching material. The silver material can drift or diffuse into the resistive switching material to form a structurally robust conductive filament therein.
    Type: Grant
    Filed: June 17, 2016
    Date of Patent: November 19, 2019
    Assignee: Crossbar, Inc.
    Inventors: Sung Hyun Jo, Xianliang Liu, Fnu Atiquzzaman
  • Patent number: 10475829
    Abstract: A semiconductor apparatus includes a conductive member including a polycrystalline silicon layer having a first, second and third portions, an interlayer insulation film that covers the conductive member, a first silicon nitride layer arranged between the interlayer insulation film and the third portion, a second silicon nitride layer arranged between the interlayer insulation film and the first portion and between the interlayer insulation layer and the second portion, a first contact plug disposed above the first portion and penetrating the interlayer insulation film and the second silicon nitride layer to connect to the conductive member, and a second contact plug disposed above the second portion and penetrating the interlayer insulation film and the second silicon nitride layer to connect to the conductive member. The first silicon nitride layer is disposed between the first and second contact plugs, and apart from the first and second contact plugs.
    Type: Grant
    Filed: July 3, 2018
    Date of Patent: November 12, 2019
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Mitsuhiro Yomori, Takehito Okabe, Nobuaki Kakinuma, Takashi Okagawa
  • Patent number: 10468478
    Abstract: A metal-insulator-metal (MIM) capacitor structure and a method for forming the same are provided. The MIM capacitor structure includes a substrate, a bottom electrode layer, a first dielectric layer, a top electrode layer and first dielectric spacers. The bottom electrode layer is positioned over the substrate. The first dielectric layer is positioned over the bottom electrode layer. The top electrode layer is positioned over the first dielectric layer. The first dielectric spacers are positioned on opposite sidewalls of the bottom electrode layer. The first dielectric layer has a first dielectric constant. The first dielectric spacers have a second dielectric constant that is lower than the first dielectric constant.
    Type: Grant
    Filed: October 26, 2017
    Date of Patent: November 5, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chih-Fan Huang, Chih-Yang Pai, Yuan-Yang Hsiao, Tsung-Chieh Hsiao, Hui-Chi Chen, Dian-Hau Chen, Yen-Ming Chen
  • Patent number: 10446444
    Abstract: An interconnection structure of the semiconductor integrated circuit device may be provided. The interconnection structure may include a first conductive pattern, a second conductive pattern, a dielectric layer and a contact part. The first conductive pattern may have a first width and a first length. The second conductive pattern may be formed over the first conductive pattern. The second conductive pattern may have a second width and a second length. The dielectric layer may be interposed between the first conductive pattern and the second conductive pattern. The contact part may be configured to simultaneously make contact with the first conductive pattern and the second conductive pattern.
    Type: Grant
    Filed: November 21, 2018
    Date of Patent: October 15, 2019
    Assignee: SK hynix Inc.
    Inventor: Jong Su Kim
  • Patent number: 10437089
    Abstract: A display device includes a display panel, a support frame including a plurality of straight portions located at two adjacent outer side surfaces of the display panel and having a substantially equal cross-section and a curved portion connecting the plurality of straight portions and having a curvature, a plurality of protrusion frames each extending from a straight portion of the plurality of straight portions of the support frame toward an inner portion of the display panel, and a mold portion overlapping the plurality of protrusion frames to be joined with the plurality of protrusion frames and being located along an inner surface of the curved portion of the support frame.
    Type: Grant
    Filed: November 29, 2017
    Date of Patent: October 8, 2019
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Minsu Jung, Youngnam Kim
  • Patent number: 10361092
    Abstract: A method for etching features in a stack below a patterned mask in an etch chamber is provided. The stack is cooled with a coolant, with a coolant temperature below ?20° C. An etch gas comprising a metal containing component, a carbon containing component, and a halogen containing component is flowed into the etch chamber. A plasma is generated from the etch gas. Features are selectively etched in the stack with respect to the patterned mask.
    Type: Grant
    Filed: February 23, 2018
    Date of Patent: July 23, 2019
    Assignee: Lam Research Corporation
    Inventors: Francis Sloan Roberts, Eric Hudson
  • Patent number: 10332986
    Abstract: A method of forming a field effect transistor (FET) includes performing an oxidation on a nanosheet structure having alternating sheets of silicon and silicon germanium. An oxide etch is performed to remove portions of the sheets of silicon germanium. Other embodiments are also described herein.
    Type: Grant
    Filed: August 22, 2016
    Date of Patent: June 25, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Zhenxing Bi, Kangguo Cheng, Juntao Li, Peng Xu
  • Patent number: 10269805
    Abstract: Some embodiments include an apparatus having a transistor associated with a vertically-extending semiconductor pillar. The transistor includes an upper source/drain region within the vertically-extending semiconductor pillar, a lower source/drain region within the vertically-extending semiconductor pillar, and a channel region within the vertically-extending semiconductor pillar and between the upper and lower source/drain regions. The transistor also includes a gate along the channel region. A wordline is coupled with the gate of the transistor. A digit line is coupled with the lower source/drain region of the transistor. A programmable device is coupled with the upper source/drain region of the transistor. A body connection line is over the wordline and extends parallel to the wordline. The body connection line has a lateral edge that penetrates into the vertically-extending semiconductor material pillar. The body connection line is of a different composition than the semiconductor material pillar.
    Type: Grant
    Filed: February 13, 2018
    Date of Patent: April 23, 2019
    Assignee: Micron Technology, Inc.
    Inventors: Deepak Chandra Pandey, Haitao Liu, Chandra Mouli, Sanh D. Tang
  • Patent number: 10256318
    Abstract: A method of manufacturing a semiconductor device includes forming dummy gate structures including a dummy gate insulating layer and dummy gate electrodes, on a first region of a semiconductor substrate, the first region including a patterning region, forming spacers on two side walls of each of the dummy gate structures, forming an interlayer insulating layer on the semiconductor substrate and the dummy gate structures, forming a protective insulating layer on a second region of the semiconductor substrate, the second region including a non-patterning region, forming a liner layer on the protective insulating layer, planarizing the interlayer insulating layer by using the liner layer as an etching mask to expose top surfaces of the dummy gate structures, forming openings by removing the dummy gate structures to expose the semiconductor substrate between the spacers, and forming gate structures including a gate insulating layer and metal gate electrodes, in the openings.
    Type: Grant
    Filed: January 12, 2017
    Date of Patent: April 9, 2019
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-ho Jeon, Dae-hyun Jang, Seung-seok Ha, Young-ju Park, Sun-ki Min
  • Patent number: 10199472
    Abstract: A neuromorphic device includes a row line extending in a first direction; a column line disposed over the row line, the column line extending in a second direction perpendicular to the first direction; a plurality of gating lines disposed between the row line and the column line; and a synapse disposed between the row line and the column line, the synapse passing through the plurality of gating lines.
    Type: Grant
    Filed: December 22, 2016
    Date of Patent: February 5, 2019
    Assignee: SK HYNIX INC.
    Inventor: Hyung-Dong Lee
  • Patent number: 10164181
    Abstract: A memory device includes a bottom electrode, a resistance switching element, a top electrode, a spacer and a conductive feature. The resistance switching element is over the bottom electrode. The top electrode is over the resistance switching electrode. The spacer abuts the resistance switching element. The conductive feature is over the top electrode. The spacer is at least partially between the conductive feature and the top electrode.
    Type: Grant
    Filed: April 18, 2017
    Date of Patent: December 25, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Tsung-Hsueh Yang, Yuan-Tai Tseng, Yi-Jen Tsai, Shih-Chang Liu
  • Patent number: 10111207
    Abstract: The present invention relates to a network device, a terminal device, and a voice service control method, a frame type of voice data is determined, and therefore, a voice service of the network device may be disconnected when no speech frame time exceeds set time, which prevents an economic loss caused by a non-talking call maintained for a long time, thereby saving a resource of an operator network, and improving utilization efficiency of the operator network.
    Type: Grant
    Filed: May 6, 2016
    Date of Patent: October 23, 2018
    Assignee: HUAWEI TECHNOLOGIES CO., LTD.
    Inventors: Xiaoqiong Long, Qiang Yuan, Chunjie Yang
  • Patent number: 10086622
    Abstract: The object of the invention is the provision of apparatuses and methods for stable direct printing of continuous films or discreet structures on a substrate using an internal pneumatic shutter. The invention uses an aerodynamic focusing technique, with a print head comprising an aerosolization source, a flow cell, an aerodynamic lens system, and a pneumatic shutter assembly. The method uses an interchangeable and variable aerodynamic lens system mounted in the flow cell, and an annularly flowing sheath gas to produce a highly collimated micrometer-size stream of aerosolized droplets. The lens system is comprised of a single-orifice or multi-orifice lens coupled to a converging fluid dispense nozzle. A liquid atomizer with temperature control is used to produce an aerosol size distribution that overlaps the functional range of the aerodynamic lens system. The shutter assembly can be attached directly to the print head, or mounted external to the print head in a control module.
    Type: Grant
    Filed: June 11, 2017
    Date of Patent: October 2, 2018
    Assignee: Integrated Deposition Solutions, Inc.
    Inventors: Marcelino Essien, David Michael Keicher
  • Patent number: 10084053
    Abstract: Structures involving a field-effect transistor and methods for forming a structure that involves a field-effect transistor. A first metal gate electrode and a second metal gate electrode are formed that are embedded in a first dielectric layer. A second dielectric layer is formed on the first metal gate electrode, the second metal gate electrode, and the first dielectric layer. An opening is formed in the second dielectric layer that extends in a vertical direction to expose a section of the first metal gate electrode. The section of the first metal gate electrode is removed, while the second metal gate electrode is masked by the second dielectric layer, to define a gate cut at a location of the opening. The gate cut may be subsequently filled by dielectric material.
    Type: Grant
    Filed: March 27, 2017
    Date of Patent: September 25, 2018
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Ruilong Xie, Chanro Park, Min Gyu Sung
  • Patent number: 10062699
    Abstract: A capacitor for a semiconductor memory element includes a lower electrode, a dielectric layer disposed on the lower electrode and including titanium oxide, and an upper electrode disposed on the dielectric layer. The lower electrode includes a first metal and a second metal, the first metal including at least one selected from the group consisting of platinum (Pt), osmium (Os), rhodium (Rh) and palladium (Pd), the second metal including at least one selected from the group consisting of ruthenium (Ru) and iridium (Jr).
    Type: Grant
    Filed: July 13, 2017
    Date of Patent: August 28, 2018
    Assignee: Korea Institute of Science and Technology
    Inventors: Seong Keun Kim, Jung Joon Pyeon, Cheol Jin Cho, Sangtae Kim, Doo Seok Jeong, Seung-Hyub Baek, Chong-Yun Kang, Ji-Won Choi, Jin-Sang Kim
  • Patent number: 9917250
    Abstract: A switching device includes a first electrode and a second electrode that are disposed over a substrate, and an electrolyte layer disposed between the first electrode and the second electrode and including a porous oxide. The switching device performs threshold switching operation on the basis of oxidation-reduction reactions of metal ions that are provided from the first electrode or the second electrode to the electrolyte layer.
    Type: Grant
    Filed: July 8, 2016
    Date of Patent: March 13, 2018
    Assignee: SK HYNIX INC.
    Inventors: Beom Yong Kim, Soo Gil Kim, Won Ki Ju
  • Patent number: 9755064
    Abstract: A semiconductor device of an embodiment includes a SiC layer having a surface, the surface inclined at an angle of 0° to 10° with respect to a {000-1} face or the surface having a normal line direction inclined at an angle of 80° to 90° with respect to a <000-1> direction, a gate electrode, a gate insulating layer provided between the surface and the gate electrode, and a region provided between the surface and the gate insulating layer, a maximum concentration of deuterium (D) in the region being 1×1020 cm?3 or more and a maximum concentration of hydrogen (H) in the region being 1×1019 cm?3 or less.
    Type: Grant
    Filed: February 19, 2016
    Date of Patent: September 5, 2017
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Teruyuki Ohashi, Yuichiro Mitani, Tatsuo Shimizu, Ryosuke Iijima
  • Patent number: 9691780
    Abstract: The present disclosure relates to an inter-digitated capacitor that can be formed along with split-gate flash memory cells and that provides for a high capacitance per unit area, and a method of formation. In some embodiments, the inter-digitated capacitor has a well region disposed within an upper surface of a semiconductor substrate. A plurality of trenches vertically extend from the upper surface of the semiconductor substrate to positions within the well region. Lower electrodes are arranged within the plurality of trenches. The lower electrodes are separated from the well region by a charge trapping dielectric layer arranged along inner-surfaces of the plurality of trenches. A plurality of upper electrodes are arranged over the semiconductor substrate at locations laterally separated from the lower electrodes by the charge trapping dielectric layer and vertically separated from the well region by a first dielectric layer.
    Type: Grant
    Filed: September 25, 2015
    Date of Patent: June 27, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wan-Chen Chen, Yu-Hsiung Wang, Han-Yu Chen
  • Patent number: 9679960
    Abstract: Semiconductor devices, methods of manufacture thereof, and methods of manufacturing capacitors are disclosed. In an embodiment, a method of manufacturing a capacitor includes: etching a trench in a workpiece. The trench may extend into the workpiece from a major surface of the workpiece. The method further includes lining the trench with a bottom electrode material and lining the bottom electrode material in the trench with a dielectric material. The dielectric material may have edges proximate the major surface of the workpiece. The method further includes forming a top electrode material over the dielectric material in the trench, and etching away a portion of the bottom electrode material and a portion of the top electrode material proximate the edges of the dielectric material.
    Type: Grant
    Filed: June 9, 2016
    Date of Patent: June 13, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Kuo-Chi Tu
  • Patent number: 9627257
    Abstract: A method for forming a gate tie-down includes opening up a cap layer and recessing gate spacers on a gate structure to expose a gate conductor; forming inner spacers on the gate spacers; etching contact openings adjacent to sides of the gate structure down to a substrate below the gate structures; and forming trench contacts on sides of the gate structure. An interlevel dielectric (ILD) is deposited on the gate conductor and the trench contacts and over the gate structure. The ILD is opened up to expose the trench contact on one side of the gate structure and the gate conductor. A second conductive material provides a self-aligned contact down to the trench contact on the one side and to form a gate contact down to the gate conductor and a horizontal connection within the ILD over an active area between the gate conductor and the self-aligned contact.
    Type: Grant
    Filed: June 7, 2016
    Date of Patent: April 18, 2017
    Assignees: INTERNATIONAL BUSINESS MACHINES CORPORATION, GLOBALFOUNDRIES, INC.
    Inventors: Su Chen Fan, Andre P. Labonte, Lars W. Liebmann, Sanjay C. Mehta