Having Additional, Nonmemory Control Electrode Or Channel Portion (e.g., For Accessing Field Effect Transistor Structure, Etc.) Patents (Class 438/266)
  • Patent number: 7190021
    Abstract: A non-volatile memory device and a fabrication method thereof, wherein a charge trapping layer, which is a memory unit, is formed at opposite ends of a gate of a cell, i.e., adjacent to source and drain junction regions, such that portions of the charge trapping layer adjacent to the source and drain junction regions are formed to be thicker than other portions of the charge trapping layer. Therefore, regions adjacent to junction regions function as electron storage regions and hole filing regions.
    Type: Grant
    Filed: October 27, 2004
    Date of Patent: March 13, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Sung-taeg Kang
  • Patent number: 7186615
    Abstract: A new method to form a floating gate for a flash memory device is achieved. The method comprises forming a gate dielectric layer overlying a substrate. A first conductor layer is deposited overlying the gate dielectric layer. A masking layer is formed overlying the first conductor layer. The masking layer and first conductor layer are etched through. A second conductor layer is deposited overlying the masking layer, the first conductor layer, and the substrate. The second conductor layer is etched down to form spacers on the sidewalls of the first conductor layer and the masking layer. The spacers extend vertically above the top surface of the first conductor layer. The masking layer is etched away to complete said floating gate.
    Type: Grant
    Filed: December 17, 2003
    Date of Patent: March 6, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Chia-Chen Liu
  • Patent number: 7186617
    Abstract: An integrated circuit device is formed by forming a resistor pattern on a substrate. An interlayer dielectric layer is formed on the resistor pattern. The interlayer dielectric layer is patterned to form at least one opening that exposes the resistor pattern. A plug pattern is formed that fills the at least one opening and the plug pattern and resistor pattern are formed using a same material.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: March 6, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Bok Lee, Hong-Soo Kim, Han-Soo Kim
  • Patent number: 7183153
    Abstract: A method of forming an array of non-volatile memory cells includes forming a plurality of floating gate structures and shaping the plurality of floating gate structures to reduce the width of upper parts of floating gate structures. A first process forms floating gates by etching an upper portion of a polysilicon structure with masking elements in place to shape the floating gate. A second process etches recesses and protrusions in a polysilicon structure prior to etching the structure to form individual floating gates.
    Type: Grant
    Filed: March 12, 2004
    Date of Patent: February 27, 2007
    Assignee: SanDisk Corporation
    Inventors: Jeffrey W. Lutze, Tuan Pham, Masaaki Higashitani
  • Patent number: 7183163
    Abstract: A method of making an isolation-less, contact-less array of bi-directional read/program non-volatile memory cells is disclosed. Each memory cell has two stacked gate floating gate transistors, with a switch transistor there between. The source/drain lines of the cells and the control gate lines of the stacked gate floating gate transistors in the same column are connected together. The gate of the switch transistors in the same row are connected together. Spaced apart trenches are formed in a substrate in a first direction. Floating gates are formed in the trenches, along the side wall of the trenches. A buried source/bit line is formed at the bottom of each trench. A control gate common to both floating gates is also formed in each trench insulated from the floating gates, capacitively coupled thereto, and insulated from the buried source/bit line.
    Type: Grant
    Filed: April 13, 2004
    Date of Patent: February 27, 2007
    Assignee: Silicon Storage Technology, Inc.
    Inventors: Dana Lee, Bomy Chen
  • Patent number: 7183158
    Abstract: A method of fabricating a nonvolatile memory is provided. The method includes forming a bottom dielectric layer, a charge trapping layer, a top dielectric layer and a conductive layer on the substrate sequentially. Portions of conductive layer, top dielectric layer, charge trapping layer and bottom dielectric layer are removed to form several trenches. An insulation layer is formed in the trenches to form a plurality of isolation structures. A plurality of word lines are formed on the conductive layer and the isolation structures. By using the word lines as a mask, portions of bottom dielectric layer, charge trapping layer, top dielectric layer, conductive layer and isolation structures are removed to form a plurality of devices. The bottom oxide layer has different thickness on the substrate so that these devices can be provided with different performance. These devices serve as memory cells with different character or devices in periphery region.
    Type: Grant
    Filed: June 8, 2005
    Date of Patent: February 27, 2007
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Chien-Lung Chu, Jen-Chi Chuang
  • Patent number: 7183143
    Abstract: A method for forming a nitrided tunnel oxide layer is described. A silicon oxide layer as a tunnel oxide layer is formed on a semiconductor substrate, and a plasma nitridation process is performed to implant nitrogen atoms into the silicon oxide layer. A thermal drive-in process is then performed to diffuse the implanted nitrogen atoms across the silicon oxide layer.
    Type: Grant
    Filed: October 27, 2003
    Date of Patent: February 27, 2007
    Assignee: Macronix International Co., Ltd.
    Inventor: Tzu-Yu Wang
  • Patent number: 7179709
    Abstract: in methods of fabricating a non-volatile memory device having a local silicon-oxide-nitride-oxide-silicon (SONOS) gate structure, a semiconductor substrate having a cell transistor area, a high voltage transistor area, and a low voltage transistor area, is prepared. At least one memory storage pattern defining a cell gate insulating area on the semiconductor substrate within the cell transistor area is formed. An oxidation barrier layer is formed on the semiconductor substrate within the cell gate insulating area. A lower gate insulating layer is formed on the semiconductor substrate within the high voltage transistor area. A conformal upper insulating layer is formed on the memory storage pattern, the oxidation barrier layer, and the lower gate insulating layer. A low voltage gate insulating layer having a thickness which is less than a combined thickness of the upper insulating layer and the lower gate insulating layer is formed on the semiconductor substrate within the low voltage transistor area.
    Type: Grant
    Filed: June 7, 2005
    Date of Patent: February 20, 2007
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Sang-Su Kim, Geum-Jong Bae, In-Wook Cho, Jin-Hee Kim
  • Patent number: 7176085
    Abstract: A method of manufacturing a split gate type nonvolatile semiconductor memory device in which control gates are formed by a self aligning process.
    Type: Grant
    Filed: November 1, 2005
    Date of Patent: February 13, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hee-seog Jeon, Seung-beom Yoon
  • Patent number: 7169672
    Abstract: A method for fabricating a nonvolatile memory device comprises the steps of: defining an active region in a semiconductor substrate; forming a charge trapping layer, a first conducting layer and a capping layer on the active region; patterning the capping layer to form a pair of caps; forming a first conducting pattern having a width defined by the pair of caps; depositing a second conducting layer on the substrate to cover the first conducting pattern; forming a first photoresist pattern on the second conducting layer, the first photoresist pattern having an opening over a portion of the active region between the pair of caps; selectively etching the second conducting layer using the first photoresist pattern as an etch mask, and at the same time selectively etching the first conducting pattern with the pair of caps as an etch mask, to form a pair of first gates.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: January 30, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jin Hyo Jung
  • Patent number: 7169671
    Abstract: A nonvolatile semiconductor memory includes a transistor, one or two resistance-change portions, and one or two charge accumulation portions. The transistor has a control electrode, first main electrode region, and second main electrode region. Each resistance-change portion is of a second conductivity type having impurity concentration lower than that of the first and second main electrode regions. The charge-accumulation portions are provided on the associated resistance-change portions. Each charge accumulation portion has an insulating layer, and is capable of accumulating charge.
    Type: Grant
    Filed: February 18, 2005
    Date of Patent: January 30, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Takashi Ono
  • Patent number: 7169666
    Abstract: A floating gate transistor has a reduced barrier energy at an interface with an adjacent gate insulator, allowing faster charge transfer across the gate insulator at lower voltages. Data is stored as charge on the floating gate. The data charge retention time on the floating gate is reduced. The data stored on the floating gate is dynamically refreshed. The floating gate transistor provides a dense and planar dynamic electrically alterable and programmable read only memory (DEAPROM) cell adapted for uses such as for a dynamic random access memory (DRAM) or a dynamically refreshed flash EEPROM memory. The floating gate transistor provides a high gain memory cell and low voltage operation.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: January 30, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Joseph E. Geusic
  • Patent number: 7166511
    Abstract: A method for fabricating a split gate flash memory includes depositing a second conductive layer for forming a control gate on a semiconductor substrate having a first conductive layer, an insulating layer, and an oxide layer on both sides of the first conductive layer formed thereon, filling an anti-implant protective layer in a depression of the second conductive layer, performing ion implant on the second conductive layer, removing the anti-implant protective layer filled in the depression of the second conductive layer, forming a photoresist pattern by depositing a photoresist layer on the second conductive layer for forming a control gate, and treating the photoresist layer with a light exposure and a development process, and forming the control gate by etching the second conductive layer.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: January 23, 2007
    Assignee: Dongbu Electronics
    Inventor: Sang Hun Oh
  • Patent number: 7166512
    Abstract: A method of fabricating a non-volatile memory is described. A plurality of first memory units having gaps between each other is formed over a substrate. Insulating spacers are formed on the sidewalls of the first memory units. A composite layer is formed on the substrate and the gaps between the first memory units are filled with a doped polysilicon layer. Thereafter, a portion of the doped polysilicon layer is removed to form trenches. After that, a metallic layer fills the trenches. A portion of the metallic layer is removed to form a plurality of gates. The gates and the composite layer together form a plurality of second memory units. The second memory units and the first memory units together constitute a memory cell column. Then, a source region and a drain region are formed in the substrate adjacent to the two sides of the memory cell column.
    Type: Grant
    Filed: August 11, 2005
    Date of Patent: January 23, 2007
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Chien-Lung Chu, Saysamone Pittikoun, Houng-Chi Wei, Wei-Chung Tseng
  • Patent number: 7157333
    Abstract: A method of fabricating a non-volatile memory is provided. A plurality of columns of isolation structures are formed on a substrate. A plurality of rows of stacked gate structures crossing over the isolation structures are formed on the substrate. A plurality of doping regions are formed in the substrate between two neighboring stacked gate structures. A plurality of stripes of spacers are formed on the sidewalls of stacked gate structures. A plurality of first dielectric layers are formed on a portion of the isolation structures adjacent to two rows of stacked gate structures. Also, one isolation structure is disposed between two neighboring first dielectric layers in the same row, while two neighboring rows comprising the first dielectric layer and the isolation structure are arranged in an interlacing manner. A plurality of first conductive layers are formed between two neighboring first dielectric layers in the same row.
    Type: Grant
    Filed: July 11, 2005
    Date of Patent: January 2, 2007
    Assignee: Macronix International Co., Ltd.
    Inventors: Jongoh Kim, Yider Wu, Kent-Kuohua Chang
  • Patent number: 7153744
    Abstract: A method of manufacturing a microelectronic device including, in one embodiment, providing a substrate having a plurality of partially completed microelectronic devices including at least one partially completed memory device and at least one partially completed transistor. At least a portion of the partially completed transistor is protected by forming a first layer over the portion of the partially completed transistor to be protected during a subsequent material removal step. A second layer is formed substantially covering the partially completed memory device and the partially completed transistor. Portions of the second layer are removed leaving a portion of the second layer over the partially completed memory device. At least a substantial portion of the first layer is removed from the partially completed transistor after the portions of the second layer are removed.
    Type: Grant
    Filed: April 12, 2004
    Date of Patent: December 26, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Han-Ping Chen, Chung-Yi Yu
  • Patent number: 7151043
    Abstract: Methods of manufacturing a semiconductor device are provided. A trench is formed in a semiconductor substrate. A first field oxide layer is formed that partially fills the trench. The first field oxide layer defines an active region of the substrate that is adjacent to the trench. An upper portion of sidewalls of the trench extends upward beyond a surface of the first field oxide layer. A first liner is formed on the first field oxide layer and on the portion of the sidewalls of the trench that extend upward beyond the first field oxide layer. A second field oxide layer is formed on the first liner and fills the trench. The second field oxide layer and the first liner are each partially removed to expose a top adjacent surface and upper sidewalls of the trench along the active region of the substrate. A dielectric layer is formed on the exposed top adjacent surface and upper sidewalls of the trench. A gate electrode is formed on the dielectric layer.
    Type: Grant
    Filed: March 17, 2005
    Date of Patent: December 19, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Tae-hyun Kim, Byoung-moon Yoon, Won-jun Lee, Yong-sun Ko, Kyung-hyun Kim
  • Patent number: 7144773
    Abstract: A method for forming a split gate flash device is provided. In one embodiment, a semiconductor substrate with a dielectric layer formed thereover is provided. A conductor layer is formed overlying the dielectric layer. A masking layer is deposited overlying the conductor layer. A light sensitive layer is formed overlying the masking layer. The light sensitive layer is patterned and etched to form a pattern of openings therein. The masking layer and the conductor layer are etched according to the pattern of openings in the light sensitive layer. The conductor layer is etched at the outer surface area between the conductor layer and the dielectric layer to form undercuts. The dielectric layer is etched to form a notch profile at the outer surface area between the conductor layer and the dielectric layer and portions of the substrate are etched to form a plurality of trenches. An isolation layer is filled over the plurality of trenches and the masking layer.
    Type: Grant
    Filed: June 1, 2005
    Date of Patent: December 5, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shih-Chang Liu, Chi-Hsin Lo, Gwo-Yuh Shiau, Chia-Shiung Tsai
  • Patent number: 7144777
    Abstract: A non-volatile memory comprising a substrate, a stacked gate structure, a conductive spacer, an oxide/nitride/oxide layer, buried doping regions, a control gate and an insulating layer. The stacked gate structure is disposed on the substrate. The stacked gate structure comprises a gate dielectric layer, a select gate and a cap layer. The conductive spacer is disposed on the sidewalls of the stacked gate structure. The oxide/nitride/oxide layer is disposed between the conductive spacer and the stacked gate structure and between the conductive spacer and the substrate. The buried doping regions are disposed in the substrate outside the conductive spacer on each side of the stacked gate structure. The control gate is disposed over the stacked gate structure and electrically connected to the conductive spacer. The insulating layer is disposed between the buried doping layer and the control gate.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: December 5, 2006
    Assignee: United Microelectronics Corp.
    Inventors: Tzung-Han Lee, Wen-Jeng Lin, Kuang-Pi Lee, Blue Larn
  • Patent number: 7141472
    Abstract: Methods of forming dynamic random access memories (DRAM) are described. In one embodiment, an insulative layer is formed over a substrate having a plurality of conductive lines which extend within a memory array area and a peripheral area outward of the memory array. Capacitor container openings and contact openings are contemporaneously etched over the memory array and conductive line portions within the peripheral area respectively. In another embodiment, a patterned masking layer is formed over a substrate having a plurality of openings formed within an insulative layer, wherein some of the openings comprise capacitor container openings within a memory array and other of the openings comprise conductive line contact openings disposed over conductive lines within a peripheral area outward of the memory array.
    Type: Grant
    Filed: June 30, 2003
    Date of Patent: November 28, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Mike Hermes
  • Patent number: 7132318
    Abstract: Disclosed is a method and structure for altering an integrated circuit design having silicon over insulator (SOI) transistors. The method/structure prevents damage from charging during processing to the gate of SOI transistors by tracing electrical nets in the integrated circuit design, identifying SOI transistors that may have a voltage differential between the source/drain and gate as potentially damaged SOI transistors (based on the tracing of the electrical nets), and connecting a shunt device across the source/drain and the gate of each of the potentially damaged SOI transistors. Alternatively, the method/structure provides for connecting compensating conductors through a series device.
    Type: Grant
    Filed: December 4, 2004
    Date of Patent: November 7, 2006
    Assignee: International Business Machines Corporation
    Inventors: Henry A. Bonges, III, David L. Harmon, Terence B. Hook, Wing L. Lai
  • Patent number: 7132332
    Abstract: A polysilicon film and the like are patterned to form n? diffusion layers on a silicon substrate. Subsequently, an outer edge of an Al2O3 film is made retreat to be smaller than that of a gate electrode by performing isotropic etching of the Al2O3 film, using a solution of sulfuric acid with hydrogen peroxide. A silicon oxide film, a silicon nitride film, the polysilicon film and the like are hardly removed although the solution of sulfuric acid with hydrogen peroxide exhibits higher etching rate to the Al2O3 film, enabling almost exclusive etching of the Al2O3 film at a high selectivity ratio. Subsequently, another polysilicon film is formed so as to fill spaces formed after the retreat of the Al2O3 film under the silicon oxide film. Subsequently, a sidewall insulating film is formed by remaining portions of the later polysilicon film in the spaces by performing RIE, oxidation, or the like of the later polysilicon film.
    Type: Grant
    Filed: October 12, 2005
    Date of Patent: November 7, 2006
    Assignee: Fujitsu Limited
    Inventors: Masaki Ishidao, Masahiro Kobayashi, Masatoshi Fukuda
  • Patent number: 7132329
    Abstract: A storage device structure (10) has two bits of storage per control gate (34) and uses source side injection (SSI) to provide lower programming current. A control gate (34) overlies a drain electrode formed by a doped region (22) that is positioned in a semiconductor substrate (12). Two select gates (49 and 50) are implemented with conductive sidewall spacers adjacent to and lateral to the control gate (34). A source doped region (60) is positioned in the semiconductor substrate (12) adjacent to one of the select gates for providing a source of electrons to be injected into a storage layer (42) underlying the control gate. Lower programming results from the SSI method of programming and a compact memory cell size exists.
    Type: Grant
    Filed: June 29, 2005
    Date of Patent: November 7, 2006
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Cheong M. Hong, Gowrishankar L. Chindalore
  • Patent number: 7129135
    Abstract: A first conductive film for forming a plurality of word lines is formed in a memory cell array formation region of a semiconductor substrate for a nonvolatile semiconductor memory device, and a second conductive film is formed in a semiconductor device formation region of the semiconductor substrate. Next, openings are formed in the first conductive film by a first dry etching process such that the word lines in the memory cell array formation region are located apart from one another. Thereafter, sidewall insulating films for the word lines are formed in the openings. Next, parts of the sidewall insulating films located adjacent to the ends of the word lines are removed by wet etching. Next, a part of the first conductive film located around a word line formation region is removed by a second dry etching process.
    Type: Grant
    Filed: August 3, 2005
    Date of Patent: October 31, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Yoshinori Odake
  • Patent number: 7122432
    Abstract: A non-volatile semiconductor memory device with a small variation in capacitance-coupling to the stacked gate for memory miniaturization. The device has a memory cell array in which memory cells are arranged in array. Each cell has a first gate and a second gate on a semiconductor substrate. The first gate is formed, via a first gate insulating film, on each of device forming regions isolated by device-isolating insulating films. The second gate is formed on the first gate via a second gate insulating film. The first gate is patterned so that its portion is overlapped on the isolation insulating film from the device forming region. A protective insulating film is provided on the isolation film between the device forming regions and in the vicinity of the first gate. A charge-storage layer of each memory cell has at least two stacked conductive layers with a small isolation width at a low aspect ratio for burying isolation insulating films for high density, to easily fabricate in low cost.
    Type: Grant
    Filed: October 4, 2004
    Date of Patent: October 17, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazuhiro Shimizu, Yuji Takeuchi
  • Patent number: 7118969
    Abstract: A method of manufacturing a floating gate provides an enhancement for the efficiencies of electron charge and injection. First, a conductive pattern, constituting the floating gate is formed on a substrate. A first insulation layer is formed on a sidewall of the conductive pattern, and then a second insulation layer is formed at an upper portion of the conductive pattern in ways that increase the sharpness of an edge portion where the sidewall and upper portions of the conductive pattern meet. Therefore, electron transference from the floating ate to a control gate is facilitated.
    Type: Grant
    Filed: February 27, 2004
    Date of Patent: October 10, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Kuk Chung, Chang-Rok Moon
  • Patent number: 7118967
    Abstract: A method of protecting a charge trapping dielectric flash memory cell from UV-induced charging, including fabricating a charge trapping dielectric flash memory cell including a charge trapping dielectric charge storage layer in a semiconductor device; and during processing steps subsequent to formation of the charge trapping dielectric charge storage layer, protecting the charge trapping dielectric flash memory cell from exposure to a level of UV radiation sufficient to deposit a non-erasable charge in the charge trapping dielectric flash memory cell. In one embodiment, the step of protecting is carried out by selecting processes in BEOL fabrication which do not include use, generation or exposure of the semiconductor device to a level of UV radiation sufficient to deposit the non-erasable charge.
    Type: Grant
    Filed: February 19, 2003
    Date of Patent: October 10, 2006
    Assignee: Spansion, LLC
    Inventors: Minh V. Ngo, Ning Cheng, Jeff P. Erhardt, Clarence B. Ferguson, Cyrus Tabery, John Caffall, Tyagamohan Gottipati, Dawn Hopper
  • Patent number: 7115458
    Abstract: Methods and apparatus utilizing a stepped floating gate structure to facilitate reduced spacing between adjacent cells without significantly impacting parasitic capacitance. The stepped structure results in a reduced surface area of a first floating gate in close proximity to an adjacent floating gate with substantially no reduction in coupling area, thus facilitating a reduction in parasitic capacitance leading to improved gate coupling characteristics. Also, because of the reduced surface area exposed to adjacent floating gates, the floating gates may be formed with reduced spacing, thus further leading to improved gate coupling characteristics.
    Type: Grant
    Filed: September 2, 2004
    Date of Patent: October 3, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Paul J. Rudeck
  • Patent number: 7112492
    Abstract: Semiconductor devices having scalable two transistor memory cells, and methods of fabricating the same, are disclosed. The semiconductor devices include a semiconductor substrate having first, second and third isolation layers thereon. The first and second isolation layers are spaced apart to define a first active region therebetween, and the second and third isolation layers are likewise spaced apart to form a second active region therebetween. A cell gate is provided on each active region that includes a gate dielectric layer, a storage node, a multiple tunnel junction barrier and a source layer that are sequentially stacked. The device also includes first and second control lines that surround at least a portion of each sidewall of the cell gates. A dielectric layer may be interposed between the sidewalls of the cell gates and the control line that surrounds it. A data line connects to the cell gates.
    Type: Grant
    Filed: January 21, 2005
    Date of Patent: September 26, 2006
    Assignee: Samsung Electronics Co. Ltd.
    Inventors: Su-Jin Ahn, Gwan-Hyeob Koh, Hyoung-Joon Kim
  • Patent number: 7105409
    Abstract: A semiconductor integrated circuit device with third gates comprising second conduction type source/drain diffusion layer regions 205 formed first conduction type well 201, floating gates 203b formed on semiconductor substrate 200 through an insulator film 202, control gates 211a formed on floating gates 203b through nitrogen-introduced silicon oxide film 210a and third gates 207a different from the floating gates and the control gates, formed through the semiconductor substrates, the floating gates, the control gates and the insulator film, where the third gates are formed as filled in gaps between the floating gates existing in a vertical direction to word lines and channels and the height of third gates 207a thus formed is made lower than that of floating gates 203b, has improved reduction of memory cell size and operating speed and improved reliability after programming/erasing cycles.
    Type: Grant
    Filed: July 27, 2004
    Date of Patent: September 12, 2006
    Assignee: Renesas Technology Corp.
    Inventors: Takashi Kobayashi, Yasushi Goto, Tokuo Kure, Hideaki Kurata, Hitoshi Kume, Katsutaka Kimura, Syunichi Saeki
  • Patent number: 7098104
    Abstract: A silicon layer doped with an impurity for a floating gate, a protective layer, a silicon nitride layer of a laminated hard mask and a first NSG layer are formed into a desired pattern, on which a second NSG layer is formed and left as a side wall. With the second NSG layer as a mask, the silicon nitride layer is etched. Using the remaining silicon nitride layer as a mask, the silicon layer is etched to form a silicon pattern whose surface is covered with a second protective layer, and the silicon nitride layer is etched out. Accordingly, it is possible to prevent a damage at the surface of the floating gate at the time of forming the floating gate using doped polysilicon.
    Type: Grant
    Filed: April 13, 2004
    Date of Patent: August 29, 2006
    Assignee: NEC Electronics Corporation
    Inventors: Junichi Suzuki, Kohji Kanamori
  • Patent number: 7094646
    Abstract: A flash memory device having a split gate that can prevent an active region and a floating gate electrode from being misaligned, and a method of manufacturing the same, includes sequentially stacking a gate oxide layer and a floating gate conductive layer on a semiconductor substrate, forming an isolation layer in a predetermined region of the semiconductor substrate where the floating gate conductive layer is formed, and defining an active region. Then, a local oxide layer is formed by oxidizing a predetermined part of the floating gate conductive layer on the active region. A floating gate electrode structure is formed by patterning the floating gate conductive layer using the local oxide layer.
    Type: Grant
    Filed: May 3, 2005
    Date of Patent: August 22, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Eui-youl Ryu, Chul-soon Kwon, Jin-woo Kim, Yong-hee Kim, Dai-geun Kim, Joo-chan Kim
  • Patent number: 7078769
    Abstract: Memory elements, switching elements, and peripheral circuits to constitute a nonvolatile memory are integrally formed on a substrate by using TFTs. Since semiconductor active layers of memory element TFTs are thinner than those of other TFTs, impact ionization easily occurs in channel regions of the memory element TFTs. This enables low-voltage write/erase operations to be performed on the memory elements, and hence the memory elements are less prone to deteriorate. Therefore, a nonvolatile memory capable of miniaturization can be provided.
    Type: Grant
    Filed: June 15, 2004
    Date of Patent: July 18, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Jun Koyama, Keisuke Hayashi
  • Patent number: 7078295
    Abstract: Provided are non-volatile split-gate memory cells having self-aligned floating gate and the control gate structures and exemplary processes for manufacturing such memory cells that provide improved dimensional control over the relative lengths and separation of the split-gate elements. Each control gate includes a projecting portion that extends over at least a portion of the associated floating gate with the size of the projecting portion being determined by a first sacrificial polysilicon spacer that, when removed, produces a concave region in an intermediate insulating structure. The control gate is then formed as a polysilicon spacer adjacent the intermediate insulating structure, the portion of the spacer extending into the concave region determining the dimension and spacing of the projecting portion and the thickness of the interpoly oxide (IPO) separating the upper portions of the split-gate electrodes thereby providing improved performance and manufacturability.
    Type: Grant
    Filed: April 29, 2004
    Date of Patent: July 18, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hee Seog Jeon, Seung Beom Yoon, Yong Tae Kim
  • Patent number: 7064032
    Abstract: A method for forming a non-volatile memory cell includes depositing an oxide layer over a component stack including a dielectric layer over a first conductive layer. A portion of an upper section of the oxide layer is removed such that the dielectric layer is exposed. The dielectric layer and a remainder of the oxide layer upper section are removed such that upper surfaces of the oxide layer and the first conductive layer are substantially planar. A second conductive layer is formed over the upper surfaces of the first conductive layer and the oxide layer. A non-volatile memory array is formed including multiple spaced and parallel bit lines in a substrate surface. Multiple stacked layers, including an electron trapping layer, are on the substrate surface over the bit lines. Multiple spaced word lines are over the stacked layers. The word lines are parallel to one another and perpendicular to the bit lines.
    Type: Grant
    Filed: July 25, 2003
    Date of Patent: June 20, 2006
    Assignee: Macronix International Co., Ltd.
    Inventors: Fu Shiung Hsu, Chen Chin Liu, Lan Ting Huang
  • Patent number: 7060565
    Abstract: A memory cell (110) has a select gate (140) and at least two floating gates (160). A gate dielectric (150) for the floating gates (160) is formed by thermal oxidation simultaneously with as a dielectric on a surface of the select gate (140). The dielectric thickness on the select gate is controlled by the dopant concentration in the select gate. Other features are also provided.
    Type: Grant
    Filed: July 30, 2003
    Date of Patent: June 13, 2006
    Assignee: ProMOS Technologies Inc.
    Inventor: Yi Ding
  • Patent number: 7060564
    Abstract: A method of fabricating a memory device having a core region of double-bit memory cells and a periphery region of logic circuitry includes forming a dielectric stack over the core and periphery areas of a semiconductor substrate and removing the dielectric stack from the periphery region. A gate dielectric is formed over the periphery area, followed by a first conductive layer over the core and periphery areas. After the formation and thermal processing of the gate dielectric, bitlines, which serve as source and drain regions, are implanted into the core area. Formation of the bitlines after the gate dielectric layer reduces lateral bitline diffusion and reduces short channel effects.
    Type: Grant
    Filed: August 6, 2003
    Date of Patent: June 13, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Inkuk Kang, Hiroyuki Kinoshita, Weidong Qian, Kelwin King Wai Ko, Yu Sun
  • Patent number: 7052962
    Abstract: A non-volatile memory cell incorporated in an integrated circuit is disclosed. The non-volatile memory cell comprises an access transistor; a floating gate transistor coupled to the access transistor; a tunneling capacitor formed between the source of the access transistor and the gate of the second transistor; and a coupling capacitor having a first plate associated with a gate of the floating gate transistor, the first plate being formed to minimize the gate to source capacitance of the floating gate transistor. A window is also created to reduce the capacitance of the tunneling capacitor and the gate to source capacitance of the floating gate transistor. A method of manufacturing this non-volatile memory cell is also disclosed.
    Type: Grant
    Filed: October 6, 2004
    Date of Patent: May 30, 2006
    Assignee: Xilinx, Inc.
    Inventor: Michael L. Lovejoy
  • Patent number: 7037783
    Abstract: A method of manufacturing a split gate type nonvolatile semiconductor memory device in which control gates are formed by a self aligning process.
    Type: Grant
    Filed: September 24, 2004
    Date of Patent: May 2, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hee-seog Jeon, Seung-beom Yoon
  • Patent number: 7037787
    Abstract: Flash memory and process of fabrication in which memory cells are formed with select gates in trenches between stacked, self-aligned floating and control gates, with buried source and drain regions which are gated by the select gates. Erase paths are formed between projecting rounded edges of the floating gates and the select gates, and programming paths extend from the mid-channel regions between the select gates and floating gates through the gate oxide to the edges of the floating gates. Trenched select gates can be provided on one or both sides of the floating and control gates, depending upon array architecture, and the stacked gates and dielectric covering them are used as a self-aligned mask in etching the substrate and other materials to form the trenches.
    Type: Grant
    Filed: February 16, 2005
    Date of Patent: May 2, 2006
    Assignees: Actrans System Inc., Actrans System Incorporation, USA
    Inventors: Der-Tsyr Fan, Jung-Chang Lu, Chiou-Feng Chen, Prateep Tuntasood
  • Patent number: 7037784
    Abstract: The present invention relates to a method of forming a floating gate electrode of a flash memory device.
    Type: Grant
    Filed: June 1, 2005
    Date of Patent: May 2, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventor: Young Ok Hong
  • Patent number: 7030444
    Abstract: A split gate flash memory cell structure is disclosed for prevention of reverse tunneling. A gate insulator layer is formed over a semiconductor surface and a floating gate is disposed over the gate insulator layer. A floating gate insulator layer is disposed over the floating gate and sidewall insulator spacers are disposed along bottom portions of the floating gate sidewall adjacent to said gate insulator layer. The sidewall insulator spacers are formed from a spacer insulator layer that had been deposited in a manner that constitutes a minimal expenditure of an available thermal budget and etching processes used in fashioning the sidewall insulator spacers etch the spacer insulator layer faster than the gate insulator layer and the floating gate insulator layer. An intergate insulator layer is disposed over exposed portions of the gate insulator layer, the floating gate, the floating gate insulator layer and the sidewall insulator spacers.
    Type: Grant
    Filed: February 25, 2004
    Date of Patent: April 18, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kuo-Chi Tu, Wen-Ting Chu, Yi-Shing Chang, Yi-Jiun Lin
  • Patent number: 7023047
    Abstract: An MOS device has a stack and a passivation layer covering the stack. The stack is formed by a first polysilicon region and by a second polysilicon region arranged on top of one another and separated by an intermediate dielectric region. An electrical connection region, formed by a column structure substantially free of steps, extends through the passivation layer, the second polysilicon region and the intermediate dielectric region, and terminates in contact with the first polysilicon region so as to electrically contacting the first polysilicon region and the second polysilicon region. Fabrication of the electrical connection region requires just one mask.
    Type: Grant
    Filed: December 23, 2003
    Date of Patent: April 4, 2006
    Assignee: STMicroelectronics S.r.l.
    Inventors: Valentina Tessa Contin, Carlo Caimi, Davide Merlani, Paolo Caprara
  • Patent number: 7018895
    Abstract: In a memory cell (110) having multiple floating gates (160), the select gate (140) is formed before the floating gates. In some embodiments, the memory cell also has control gates (170) formed after the select gate. Substrate isolation regions (220) are formed in a semiconductor substrate (120). The substrate isolation regions protrude above the substrate. Then select gate lines (140) are formed. Then a floating gate layer (160) is deposited. The floating gate layer is etched until the substrate isolation regions are exposed. A dielectric (164) is formed over the floating gate layer, and a control gate layer (170) is deposited. The control gate layer protrudes upward over each select gate line. These the control gates and the floating gates are defined independently of photolithographic alignment. In another aspect, a nonvolatile memory cell has at least two conductive floating gates (160).
    Type: Grant
    Filed: April 8, 2005
    Date of Patent: March 28, 2006
    Assignee: ProMOS Technologies Inc.
    Inventor: Yi Ding
  • Patent number: 7018898
    Abstract: The invention relates to a nonvolatile two-transistor semiconductor memory cell and an associated fabrication method, source and drain regions (2) for a selection transistor (AT) and a memory transistor (ST) being formed in a substrate (1). The memory transistor (ST) has a first insulation layer (3), a charge storage layer (4), a second insulation layer (5) and a memory transistor control layer (6), while the selection transistor (AT) has a first insulation layer (3?) and a selection transistor control layer (4*). By using different materials for the charge storage layer (4) and the selection transistor control layer (4*), it is possible to significantly improve the charge retention properties of the memory cell by adapting the substrate doping with electrical properties remaining the same.
    Type: Grant
    Filed: December 10, 2002
    Date of Patent: March 28, 2006
    Assignee: Infineon Technologies AG
    Inventors: Franz Schuler, Georg Tempel
  • Patent number: 7015100
    Abstract: A method of fabricating a one-time programmable read only memory of the present invention is provided. First, a substrate having a memory cell area and a peripheral circuit area is provided. The memory cell area includes at least a one-time programmable read only memory cell, while the peripheral circuit area includes at least a logic device. Thereafter, a silicon oxide layer is formed over the substrate to cover the one-time programmable read only memory cell, the logic device and the exposed surface of the substrate. Next, a silicon nitride layer is formed on the silicon oxide layer. Then, the silicon nitride layer and the silicon oxide layer in the peripheral circuit area are removed, and the retained silicon nitride layer and silicon oxide layer in the memory cell area are as a salicide blocking layer (SAB). Thereafter, a salicide process is performed.
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: March 21, 2006
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Fang Lee, Dave Hsu, Asam Lin
  • Patent number: 7015099
    Abstract: A method of manufacturing a flash memory cell. The method includes controlling a wall sacrificial oxidization process, a wall oxidization process and a cleaning process of a trench insulating film that are performed before/after a process of forming the trench insulating film for burying a trench to etch the trench insulating film to a desired space. Therefore, it is possible to secure the coupling ratio of a floating gate by maximum and implement a device of a smaller size.
    Type: Grant
    Filed: December 3, 2004
    Date of Patent: March 21, 2006
    Assignee: Hynix Semiconductor, Inc.
    Inventors: Jum Soo Kim, Sung Mun Jung, Jung Ryul Ahn, Young Ki Shin, Young Bok Lee
  • Patent number: 7012003
    Abstract: The invention relates to a method for producing a memory component comprising a memory location (104) having memory cells and first control electrode strips (162) for controlling the individual memory cells, and a peripheral area (106) having peripheral elements and second control electrode strips (164) for controlling said peripheral elements. The inventive method enables the expansion of the second control electrode strips (164) in the peripheral area (106) to be approximately randomly adjusted to minimum line widths, without influencing or changing the expansion of the first control electrode strips (162) in the memory location (104).
    Type: Grant
    Filed: June 13, 2002
    Date of Patent: March 14, 2006
    Assignee: Infineon Technologies AG
    Inventor: Dirk Többen
  • Patent number: 7005344
    Abstract: A floating gate transistor has a reduced barrier energy at an interface between a gallium nitride (GaN) or gallium aluminum nitride (GaAlN) floating gate an adjacent gate insulator, allowing faster charge transfer across the gate insulator at lower voltages. Data is stored as charge on the floating gate. The data charge retention time on the floating gate is reduced. The data stored on the floating gate is dynamically refreshed. The floating gate transistor provides a dense and planar dynamic electrically alterable and programmable read only memory (DEAPROM) cell adapted for uses such as for a dynamic random access memory (DRAM) or a dynamically refreshed flash EEPROM memory. The floating gate transistor provides a high gain memory cell and low voltage operation.
    Type: Grant
    Filed: June 18, 2001
    Date of Patent: February 28, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Patent number: 7001812
    Abstract: A fabrication method of a semiconductor device which has on the same semiconductor layer a transistor with a different high voltage gate as well as a high voltage drain and an MNOS memory transistor.
    Type: Grant
    Filed: October 7, 2004
    Date of Patent: February 21, 2006
    Assignee: Seiko Epson Corporation
    Inventors: Takafumi Noda, Susumu Inoue, Masahiko Tsuyuki, Akihiko Ebina