Having Additional, Nonmemory Control Electrode Or Channel Portion (e.g., For Accessing Field Effect Transistor Structure, Etc.) Patents (Class 438/266)
  • Publication number: 20080290393
    Abstract: An object is to provide a technique to manufacture an insulating film having excellent film characteristics. In particular, an object is to provide a technique to manufacture a dense insulating film with a high withstand voltage. Moreover, an object is to provide a technique to manufacture an insulating film with few electron traps. An insulating film including oxygen is subjected to plasma treatment using a high frequency under the conditions where the electron density is 1×1011 cm?3 or more and the electron temperature is 1.5 eV or less in an atmosphere including oxygen.
    Type: Application
    Filed: May 18, 2007
    Publication date: November 27, 2008
    Inventors: Tetsuya Kakehata, Tetsuhiro Tanaka, Yoshinobu Asami
  • Patent number: 7456467
    Abstract: A process for manufacturing a matrix of non volatile memory cells includes forming a floating gate transistor and a cell selection transistor in a first active area, and a byte selection transistor in a second active area. A multilayer structure is deposited, comprising a gate oxide layer, a first polysilicon layer, a dielectric layer, and a second polysilicon layer. The multilayer structure is defined to form two bands, the first band defining gate regions of the byte selection transistor and the cell selection transistor, and the second band defining the gate region of the floating gate transistor. A portion of the first band extends over a portion of insulating layer adjacent to the byte selection transistor. An opening is formed in the portion of the first band, exposing the first polysilicon layer, and a conductive layer is formed in the opening, electrically coupling the first polysilicon layer with the second polysilicon layer.
    Type: Grant
    Filed: October 25, 2005
    Date of Patent: November 25, 2008
    Inventors: Paola Zuliani, Elisabetta Palumbo, Marina Scaravaggi, Roberto Annunziata
  • Publication number: 20080283897
    Abstract: The invention provides a flash memory device and a method for fabricating thereof. The device comprises a gate stack layer of a gate dielectric layer and a gate polysilicon layer formed on a substrate, a stack layer comprising a floating polysilicon layer and gate spacer formed on the sidewall of the gate stack layer. A metal layer is formed on the gate stack layer and is utilized in place of a portion of the gate polysilicon layer. Because the metal layer has relatively high conductivity and is electrically connected to a metal plug later formed, current velocity of the device is increased to improve performance.
    Type: Application
    Filed: September 19, 2007
    Publication date: November 20, 2008
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Yu-Sheng Ding, Ching-Nan Hsiao, Chung-Lin Huang
  • Patent number: 7452771
    Abstract: The semiconductor device comprises a first well 14 of a first conduction type formed in a semiconductor substrate 10; a second well 16 of a second conduction type formed in the first well 14; and a transistor 40 including a control gate 18 formed of an impurity region of the first conduction type formed in the second well 16, a first impurity diffused layer 26 and a second impurity diffused layer 33 formed with a channel region 25 therebetween, and a floating gate electrode 20 formed on the channel region 25 and the control gate 18 with a gate insulation film 24 therebetween. The control gate 18 is buried in the semiconductor substrate 10, which makes it unnecessary to form the control gate 18 on the floating gate electrode 20. Thus, the memory transistor and the other transistors, etc. can be formed by the same fabricating process. Thus, the fabrication processes can be less and the semiconductor device can be inexpensive.
    Type: Grant
    Filed: October 13, 2005
    Date of Patent: November 18, 2008
    Assignee: Fujitsu Limited
    Inventors: Masaki Ito, Masaya Katayama, Takaaki Furuyama, Shozo Kawabata
  • Publication number: 20080273398
    Abstract: The invention can include at least one storage cell having a store gate structure formed from a semiconductor material doped to a first conductivity type and in contact with a channel region comprising a semiconductor material doped to a second conductivity type. A storage cell can also include at least a first source/drain region and a second source/drain region separated from one another by the channel region. A control gate structure, comprising a semiconductor layer doped to the first conductivity type can be formed over a substrate surface. The control gate structure can be in contact with the channel region. Such a storage cell can be more compact and/or provide longer data retention times than conventional storage cells, such as many conventional dynamic random access memory (DRAM) type cells.
    Type: Application
    Filed: May 1, 2007
    Publication date: November 6, 2008
    Inventor: Madhu P. Vora
  • Patent number: 7439157
    Abstract: A method includes removing a portion of a substrate to define an isolation trench; forming a first dielectric layer on exposed surfaces of the substrate in the trench; forming a second dielectric layer on at least the first dielectric layer, the second dielectric layer containing a different dielectric material than the first dielectric layer; depositing a third dielectric layer to fill the trench; removing an upper portion of the third dielectric layer from the trench and leaving a lower portion covering a portion of the second dielectric layer; oxidizing the lower portion of the third dielectric layer after removing the upper portion; removing an exposed portion of the second dielectric layer from the trench, thereby exposing a portion of the first dielectric layer; and forming a fourth dielectric layer in the trench covering the exposed portion of the first dielectric layer.
    Type: Grant
    Filed: May 16, 2005
    Date of Patent: October 21, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Zailong Bian, John Smythe, Janos Fucsko, Michael Violette
  • Publication number: 20080237685
    Abstract: In one embodiment, the semiconductor memory device includes a semiconductor substrate having projecting portions, a tunnel insulation layer formed over at least one of the projecting semiconductor substrate portions, and a floating gate structure disposed over the tunnel insulation layer. An upper portion of the floating gate structure is wider than a lower portion of the floating gate structure, and the lower portion of the floating gate structure has a width less than a width of the tunnel insulating layer. First insulation layer portions are formed in the semiconductor substrate and project from the semiconductor substrate such that the floating gate structure is disposed between the projecting first insulation layer portions. A dielectric layer is formed over the first insulation layer portions and the floating gate structure, and a control gate is formed over the dielectric layer.
    Type: Application
    Filed: July 6, 2007
    Publication date: October 2, 2008
    Inventors: Byung-Kyu Cho, Se-Hoon Lee, Kyu-Charn Park, Choong-Ho Lee
  • Patent number: 7429512
    Abstract: A method of fabricating a flash memory device. A DDD ion is implanted into a high voltage PMOS transistor and into source and drain junctions of a cell transistor in order to facilitate a pinch-off phenomenon in the gate to drain overlap region and also increase the number of hot carriers. Accordingly, a program characteristic can be improved, a depletion width between source and drain junctions of a cell can be narrowed and the leakage current can be reduced.
    Type: Grant
    Filed: May 23, 2006
    Date of Patent: September 30, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: Dong Kee Lee
  • Patent number: 7427537
    Abstract: In a semiconductor integrated circuit device having a system-on-chip structure in which a DRAM and a logic integrated circuit are mixedly mounted on a chip, a silicide layer is formed on the surfaces of the source and the drain of a MISFET of a direct peripheral circuit of the DRAM, the surfaces of the source and the drain of a MISFET of an indirect peripheral circuit of the DRAM, and the surfaces of the source and the drain of a MISFET of the logic integrated circuit, and the silicide layer is not formed on the surfaces of the source and the drain of a memory cell selective MISFET of the memory cell of the DRAM.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: September 23, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Takafumi Tokunaga, Makoto Yoshida, Fumio Ootsuka
  • Patent number: 7419869
    Abstract: Provided is a manufacturing method of a semiconductor device which has the following steps of forming a plurality of layered patterns obtained by stacking an insulating film, a conductor film for forming a floating gate electrode and another insulating film over a semiconductor substrate in the order of mention, forming sidewalls over the side surfaces of the plurality of layered patterns, removing a damage layer of the semiconductor substrate between any two adjacent layered patterns by dry etching, forming an insulating film over the semiconductor substrate between two adjacent layered patterns, and forming a plurality of assist gate electrodes over the insulating film between two adjacent layered patterns in self alignment therewith. According to the present invention, a semiconductor device having a flash memory has improved reliability.
    Type: Grant
    Filed: February 9, 2006
    Date of Patent: September 2, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Naohiro Hosoda, Tetsuo Adachi
  • Patent number: 7419895
    Abstract: Methods and apparatus are provided. A source slot and a drain contact region are formed at opposite ends of a NAND string disposed on a substrate of a NAND memory array using a single mask. The drain contact region is self-aligned to a drain select gate. The NAND string has a plurality of memory cells connected in series.
    Type: Grant
    Filed: October 23, 2003
    Date of Patent: September 2, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Roger W Lindsay
  • Publication number: 20080197402
    Abstract: Methods of forming non-volatile memory devices include forming a device isolation layer and a gate pattern of a non-volatile memory cell transistor, on a semiconductor substrate. This gate pattern includes a floating gate electrode and a control gate line that extends on the floating gate electrode and on the device isolation layer. At least a first portion of a first sidewall of the gate pattern is then covered with a first mask that exposes upper corners of the control gate line. The device isolation layer is then selectively etched at a first rate to define an at least partial opening therein. During this etching step, the upper corners of the control gate line are also etched back at a second rate less than the first rate.
    Type: Application
    Filed: February 15, 2008
    Publication date: August 21, 2008
    Inventors: Seung-woo Paek, Dae-hyun Jang, Jin-hong Kim
  • Publication number: 20080191247
    Abstract: A nonvolatile memory transistor having a poly-silicon fin, a stacked nonvolatile memory device having the transistor, a method of fabricating the transistor, and a method of fabricating the device are provided. The device may include an active fin protruding upward from a semiconductor substrate. At least one first charge storing pattern on a top surface and sidewalls of the active fin may be formed. At least one first control gate line on a top surface of the at least one first charge storing pattern may be formed. The at least one first control gate line may intersect over the active fin. An interlayer dielectric layer may be formed on the at least one first control gate line. A poly-silicon fin may be formed on the interlayer dielectric layer. At least one second charge storing pattern on a top surface and sidewalls of the poly-silicon fin may be formed.
    Type: Application
    Filed: January 4, 2008
    Publication date: August 14, 2008
    Inventors: Huaxiang Yin, Young-soo Park, Wenxu Xianyu
  • Publication number: 20080191263
    Abstract: Provided are a nonvolatile memory device and a method of fabricating the same in which a channel length is effectively increased and high-integration may be possible. In the nonvolatile memory device, a semiconductor device may include an active region defined by a device isolation film. The active region may include at least one projecting portion. A pair of control gate electrodes may cover both side surfaces of the at least one projecting portion, and may be spaced apart from each other. A pair of charge storage layers may be between both side surfaces of the at least one projecting portion and the pair of control gate electrodes.
    Type: Application
    Filed: October 31, 2007
    Publication date: August 14, 2008
    Inventors: Won-joo Kim, June-mo Koo, Suk-pil Kim, Yoon-dong Park
  • Patent number: 7410867
    Abstract: Vertical body transistors with adjacent horizontal gate layers are used to form a memory array in a high density flash electrically erasable and programmable read only memory (EEPROM) or a logic array in a high density field programmable logic array (FPLA). The transistor is a field-effect transistor (FET) having an electrically isolated (floating) gate that controls electrical conduction between source regions and drain regions. If a particular floating gate is charged with stored electrons, then the transistor will not turn on and will provide an indication of the stored data at this location in the memory array within the EEPROM or will act as the absence of a transistor at this location in the logic array within the FPLA.
    Type: Grant
    Filed: April 16, 2002
    Date of Patent: August 12, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Patent number: 7410871
    Abstract: A split gate type flash memory device and a method of manufacturing the split gate type flash memory device are disclosed. The split gate type flash memory device includes a silicon epitaxial layer formed in an active region of a bulk silicon substrate and a disturbance-preventing insulating film formed in the bulk silicon substrate between a source region and a drain region of the device. According to selected embodiments of the invention, the disturbance-preventing insulating film is formed using a Shallow Trench Isolation (STI) forming process.
    Type: Grant
    Filed: May 29, 2007
    Date of Patent: August 12, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jin-kuk Chung
  • Patent number: 7407857
    Abstract: An memory device, and method of making same, that includes source and drain regions defining a channel region therebetween. A select gate is formed over and insulated from a first portion of the channel region. A conductive floating gate is disposed over and insulated from the source region and a second portion of the channel region. A notch is formed in the floating gate bottom surface having an edge that is either aligned with an edge of the source region or is disposed over the source region. A conductive control gate is disposed adjacent to the floating gate. By having the source region terminate under the thicker insulation region provided by the notch, the breakdown voltage of the source junction is increased. Alternately, the lower portion of the floating gate is formed entirely over the source region, for producing fringing fields to control the adjacent portion of the channel region.
    Type: Grant
    Filed: January 23, 2006
    Date of Patent: August 5, 2008
    Assignee: Integrated Memory Technologies, Inc.
    Inventors: Ching-Shi Jeno, Ting P. Yen
  • Patent number: 7399672
    Abstract: Methods of forming a memory device include forming a device isolation layer in a semiconductor substrate including a cell array region and a resistor region, the device isolation layer extending into the resistor region and defining an active region in the semiconductor substrate. A first conductive layer is formed on the device isolation layer in the resistor region. The semiconductor substrate is exposed in the cell array region. A cell insulation layer is formed on a portion of the semiconductor substrate including the exposed cell array region, the active region and the device isolation layer in the resistor region. A second conductive layer is formed on the cell insulation layer in the portion of the semiconductor substrate including the exposed cell array region, the active region and the device isolation layer in the resistor region.
    Type: Grant
    Filed: March 15, 2006
    Date of Patent: July 15, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-Hyun Lee, Jung-Dal Choi, Chang-Seok Kang, Yoo-Cheol Shin, Jong-Sun Sel
  • Patent number: 7399675
    Abstract: An electronic device can include an NVM array, wherein portions of word lines are formed within trenches. Insulating features are formed over heavily doped regions within the substrate. In one embodiment, charge storage stacks and a control gate electrode layer can be formed and substantially fill the trench. The insulating features help to reduce capacitive coupling between the heavily doped regions and the control gate electrode layer. In a particular embodiment, the insulating features are recessed from a top surface of a layer outside the trenches. The control gate electrode layer can form a substantially continuous electrical path along the lengths of the word lines. This particular embodiment substantially eliminates the formation of stringers or other residual etching artifacts from the control gate electrode layer within the array. A process can be performed to form the electronic device.
    Type: Grant
    Filed: March 14, 2005
    Date of Patent: July 15, 2008
    Assignee: Freescale Semiconductor, Inc
    Inventors: Gowrishankar L. Chindalore, Craig T. Swift
  • Patent number: 7396722
    Abstract: The present invention provides for a memory device comprising a bulk substrate. A first lightly doped region is formed in the bulk substrate. A first active region is formed in the first lightly doped region. A second lightly doped region is formed in the bulk substrate. A second active region is formed in the second lightly doped region. A third active region is formed in the bulk substrate. An oxide layer is disposed outwardly from the bulk substrate and a floating gate layer is disposed outwardly from the oxide layer. In a particular aspect, a memory device is provided that is a single poly electrically erasable programmable read-only memory (EEPROM) with a drain or source electrode configured to remove negative charge from the gate and erase the EEPROM, without a separate erase region.
    Type: Grant
    Filed: February 3, 2006
    Date of Patent: July 8, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Jozef Mitros, Victor Ivanov
  • Publication number: 20080160680
    Abstract: Shield plates for reduced coupling between charge storage regions in nonvolatile semiconductor memory devices, and associated techniques for forming the same, are provided. Electrical fields associated with charge stored in the floating gates or other charge storage regions of a memory device can couple to neighboring charge storage regions because of the close, and continually decreasing proximity of these regions. A shield plate can be formed adjacent to the bit line sides of floating gates that face opposing bit line sides of adjacent floating gates. Insulating layers can be formed between each shield plate and its corresponding adjacent charge storage region. The insulating layers can extend to the levels of the upper surfaces of the control gates formed above the charge storage regions. In such a configuration, sidewall fabrication techniques can be implemented to form the insulating members and shield plates.
    Type: Application
    Filed: December 28, 2006
    Publication date: July 3, 2008
    Inventor: Jack H. Yuan
  • Publication number: 20080160696
    Abstract: A method for fabricating a flash memory device, includes: preparing a substrate having an active region and an inactive region; forming a trench in the inactive region; forming a device isolation film in the trench; forming a well in the active region; forming a tunnel oxide film, a first polysilicon layer, an inter-layer dielectric film, a second polysilicon layer, and an oxide film over a surface of the substrate having the well formed therein; and forming a floating gate, a dielectric film, a control gate and a protection film over the active region by patterning the first polysilicon layer, the inter-layer dielectric film, the second polysilicon layer and the oxide film. The method further includes: forming a photoresist pattern over the surface of the substrate to thereby expose the protection film and the inactive region; and removing the exposed tunnel oxide film and the device isolation film over the inactive region using the photoresist pattern.
    Type: Application
    Filed: December 27, 2006
    Publication date: July 3, 2008
    Inventor: Young Wook Shin
  • Patent number: 7393748
    Abstract: A NAND cell unit is formed with an advanced gate forming process on a semiconductor layer of a first conductivity type, which is formed on a semiconductor substrate of the first conductivity type with an insulating film interposed therebetween. First impurity-doped layers of a second conductivity type are formed on the semiconductor layer, which serve as channel regions of the select gate transistors Bit line contact- and source line contact-use second impurity-doped layers of the first conductivity type are formed at bit line and source line contact portions, sidewalls of which are covered with an insulating film.
    Type: Grant
    Filed: December 12, 2006
    Date of Patent: July 1, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Fumitaka Arai, Toshiyuki Enda, Hiroyoshi Tanimoto, Naoki Kusunoki, Nobutoshi Aoki, Riichiro Shirota, Hiroshi Watanabe, Takamitsu Ishihara
  • Patent number: 7378315
    Abstract: A method for fabricating a semiconductor device for a system on chip (SOC) for embodying a transistor for a logic device, an electrical erasable programmable read only memory (EEPROM) cell and a flash memory cell in one chip is provided. Floating gates of the EEPROM cell and the flash memory cell are formed by using a first polysilicon layer; and a gate electrode of the logic device and control gates of the EEPROM cell and the flash memory cell are formed by using a second polysilicon layer. Thus, it is possible to stably form the logic device, the EEPROM cell and the flash memory cell in one chip.
    Type: Grant
    Filed: December 6, 2005
    Date of Patent: May 27, 2008
    Assignee: Magnachip Semiconductor Ltd.
    Inventor: Yong-Sik Jeong
  • Publication number: 20080119022
    Abstract: A first mask set is used to define parallel active area stripes while a second mask set with memory cell stripes is perpendicular to the first mask set. The second mask set features cell masks with spaced apart branches, one for a non-volatile memory cell. The branch for the non-volatile memory cell has a mask portion for defining a subsurface charge region for communicating charge to a floating gate. The branches can use sub-masks for defining openings that are less than feature size, for example, for defining the subsurface charge region, yet allowing regions apart from spacers to define feature size and larger gates for desired channel lengths. The implantation of the charge region allows for self-aligned implanting of source-drain regions at locations that have been optimized for desired channel lengths or other parameters. By implanting source-drain regions late in the manufacturing process, there is no overlap with previously formed gates.
    Type: Application
    Filed: November 22, 2006
    Publication date: May 22, 2008
    Applicant: ATMEL CORPORATION
    Inventor: Bohumil Lojek
  • Patent number: 7374999
    Abstract: A semiconductor device includes a substrate including a high-voltage transistor area provided with a high-voltage transistor and a low-voltage transistor area provided with a low-voltage transistor; a LOCOS layer provided as a device isolation layer of the high-voltage transistor area; and a shallow-trench isolation layer provided as a device isolation layer of the low-voltage transistor area. Accordingly, a sufficient breakdown voltage level can be provided in a high-voltage transistor area, on-resistance and leakage current can be enhanced, and the chip area in a low-voltage transistor area can be reduced.
    Type: Grant
    Filed: December 28, 2005
    Date of Patent: May 20, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Kwang Young Ko
  • Patent number: 7374996
    Abstract: Semiconductor memory devices and methods to fabricate thereof are described. A first gate base is formed on a first insulating layer on a substrate. A first gate fin is formed on the first gate base. The first gate fin has a top and sidewalls. Next, a second insulating layer is formed on the top and sidewalls of the first gate fin and portions of the first gate base. A second gate is formed on the second insulating layer. Source and drain regions are formed in the substrate at opposite sides of the first gate base. In one embodiment, the first gate fin includes an undoped polysilicon and the first gate base includes an n-type polysilicon. In another embodiment, the first gate fin includes an undoped amorphous silicon and the first gate base includes an n-type amorphous silicon.
    Type: Grant
    Filed: November 14, 2005
    Date of Patent: May 20, 2008
    Inventors: Charles Kuo, Yudong Kim
  • Patent number: 7374989
    Abstract: Flash memory and methods of fabricating the same are disclosed. An illustrated example flash memory includes a first source formed within a semiconductor substrate; an epitaxial layer formed on an upper surface of the semiconductor substrate; an opening formed within the epitaxial layer to expose the first source; a floating gate device formed inside the opening; and a select gate device formed on the epitaxial layer at a distance from the floating gate device.
    Type: Grant
    Filed: June 26, 2007
    Date of Patent: May 20, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jin Hyo Jung
  • Publication number: 20080113479
    Abstract: A method for fabricating a non-volatile memory having boost structures. Boost structures are provided for individual NAND strings and can be individually controlled to assist in programming, verifying and reading processes. The boost structures can be commonly boosted and individually discharged, in part, based on a target programming state or verify level. The boost structures assists in programming so that the programming and pass voltage on a word line can be reduced, thereby reducing side effects such as program disturb. During verifying, all storage elements on a word line can be verified concurrently. The boost structure can also assist during reading. In one approach, the NAND string has dual source-side select gates between which the boost structure contacts the substrate at a source/drain region, and a boost voltage is provided to the boost structure via a source-side of the NAND string.
    Type: Application
    Filed: November 13, 2006
    Publication date: May 15, 2008
    Inventor: Nima Mokhlesi
  • Patent number: 7371642
    Abstract: An array of NROM flash memory cells configured to store at least two bits per four F2. Split vertical channels are generated along each side of adjacent pillars. A single control gate is formed over the pillars and in the trench between the pillars. The split channels can be connected by an n+ region at the bottom of the trench or the channel wrapping around the trench bottom. Each gate insulator is capable of storing a charge that is adequately separated from the other charge storage area due to the increased channel length.
    Type: Grant
    Filed: March 9, 2006
    Date of Patent: May 13, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Patent number: 7371643
    Abstract: A memory cell and a selection transistor for selecting the memory cell are provided. The memory cell includes a floating gate formed on a semiconductor substrate via a first gate insulation film, a pair of first diffusion layers positioned on the opposite sides of the floating gate and formed in the substrate, first and second control gates formed on the opposite sides of the floating gate to drive the floating gate, and an inter-gate insulation film formed between the first and second control gates and the floating gate. The selection transistor includes a selection gate wiring including a first portion constituted of the same conductive layer as the first conductive layer, and a second portion constituted of the same conductive layer as the second conductive layer, and a second diffusion layer formed in the substrate, facing the second portion of the selection gate wiring.
    Type: Grant
    Filed: February 8, 2007
    Date of Patent: May 13, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kikuko Sugimae, Hiroyuki Kutsukake
  • Patent number: 7371645
    Abstract: Fabrication of recessed channel array transistors (RCAT) with a corner gate device includes forming pockets between a semiconductor fin that includes a gate groove and neighboring shallow trench isolations that extend along longs sides of the semiconductor fin. A protection liner covers the semiconductor fin and the trench isolations in a bottom portion of the gate groove and the pockets. An insulator collar is formed in the exposed upper sections of the gate groove and the pockets, wherein a lower edge of the insulator collar corresponds to a lower edge of source/drain regions formed within the semiconductor fin. The protection liner is removed. The bottom portion of the gate groove and the pockets are covered with a gate dielectric and a buried gate conductor layer. The protection liner avoids residuals of polycrystalline silicon between the active area in the semiconductor fin and the insulator collar.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: May 13, 2008
    Assignee: Infineon Technologies AG
    Inventors: Klaus Muemmler, Peter Baars, Stefan Tegen
  • Publication number: 20080106934
    Abstract: A memory transistor including a substrate, a tunnel insulating pattern on the substrate, a charge storage pattern on the tunnel insulating pattern, a blocking insulating pattern on the charge storage pattern, and a gate electrode on the blocking insulating pattern, the blocking insulating pattern surrounding the gate electrode and methods of operating and fabricating the same. A nonvolatile memory may further include a plurality of memory transistors in series and a plurality of auxiliary structures between each of the plurality of unit transistors in series. Each of the plurality of auxiliary structures may be a dummy mask pattern or an assistant gate structure.
    Type: Application
    Filed: September 11, 2007
    Publication date: May 8, 2008
    Inventors: Chang-Hyun Lee, Byeong-In Choi
  • Publication number: 20080101121
    Abstract: An apparatus and method for storing information are provided, including using an integrated circuit including a transistor having a channel, a gate oxide layer, a gate electrode, and a modifiable gate stack layer. To store information, the on-resistance of the transistor is changed by causing a non-charge-storage based physical change in the modifiable gate stack layer.
    Type: Application
    Filed: February 20, 2007
    Publication date: May 1, 2008
    Inventor: Franz Kreupl
  • Publication number: 20080099824
    Abstract: A flash memory device and a method of fabricating the same are provided. The flash memory device may include an isolation layer provided in a semiconductor substrate to define an active region. A floating gate may be provided on the active region. The floating gate may be spaced a first distance apart from the active region. A control gate may be provided, which covers a top surface of the floating gate and one of both sidewalls of the floating gate adjacent to the active region. The portion of the control gate covering one sidewall of the floating gate may be spaced a second distance, which may be greater than the first distance, apart from the active region.
    Type: Application
    Filed: August 27, 2007
    Publication date: May 1, 2008
    Inventor: Hyun-Sil Oh
  • Publication number: 20080096352
    Abstract: Gate stacks of an array of memory cells and a plurality of select transistors are formed above a carrier, the gate stacks being separated by spacers. An opening is formed between the spacers in an area that is provided for a source line. A sacrificial layer is applied to fill the opening and is subsequently patterned. Interspaces are filled with a planarizing layer of dielectric material. The residues of the sacrificial layer are removed and an electrically conductive material is applied to form a source line.
    Type: Application
    Filed: September 29, 2006
    Publication date: April 24, 2008
    Inventors: Josef Willer, Franz Hofmann
  • Publication number: 20080093656
    Abstract: A semiconductor device includes a device isolation layer in a semiconductor substrate, an active region defined by the device isolation layer, the active region including a main surface and a recess region including a bottom surface that is lower than the main surface, and a gate electrode formed over the recess region, wherein a top surface of the device isolation layer adjacent to the recess region is lower than the bottom surface of the recess region.
    Type: Application
    Filed: February 23, 2007
    Publication date: April 24, 2008
    Inventors: Sang-Hun Jeon, Jung-Dal Choi, Chang-Seok Kang, Won-Seok Jung
  • Patent number: 7361543
    Abstract: An integrated circuit and method of forming an integrated circuit having a memory portion minimizes an amount of oxidation of nanocluster storage elements in the memory portion. A first region of the integrated circuit has non-memory devices, each having a control electrode or gate formed of a single conductive layer of material. A second region of the integrated circuit has a plurality of memory cells, each having a control electrode of at least two conductive layers of material that are positioned one overlying another. The at least two conductive layers are at substantially a same electrical potential when operational and form a single gate electrode. In one form each memory cell gate has two polysilicon layers overlying a nanocluster storage layer.
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: April 22, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Robert F. Steimle, Ramachandran Muralidhar, Bruce E. White
  • Patent number: 7361554
    Abstract: Disclosed are a multi-bit non-volatile memory device, a method of operating the same, and a method of manufacturing the multi-bit non-volatile memory device. A unit cell of the multi-bit non-volatile memory device may be formed on a semiconductor substrate may include: a plurality of channels disposed perpendicularly to the upper surface of the semiconductor substrate; a plurality of storage nodes disposed on opposite sides of the channels perpendicularly the upper surface of the semiconductor substrate; a control gate surrounding upper portions of the channels and the storage nodes, and side surfaces of the storage nodes; and an insulating film formed between the channels and the storage nodes, between the channels and the control gate, and between the storage nodes and the control gate.
    Type: Grant
    Filed: June 20, 2007
    Date of Patent: April 22, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yoon-dong Park, Sun-ae Seo, Choong-rae Cho, Won-joo Kim, Sang-min Shin
  • Publication number: 20080087934
    Abstract: A nonvolatile memory device may include a semiconductor substrate; first and second floating gate electrodes formed on the semiconductor substrate; a control gate electrode formed on the first and second floating gate electrodes that may include a line body and a first leg, second leg, and third leg extending vertically from the line body toward the semiconductor substrate; and an inter-layer insulating film interposed between the semiconductor substrate and a lower end of the first leg and between the semiconductor substrate and a lower end of the second leg.
    Type: Application
    Filed: October 31, 2006
    Publication date: April 17, 2008
    Inventors: Jong-hyon Ahn, Jin-woo Kim
  • Publication number: 20080090351
    Abstract: A select gate structure for a non-volatile storage system include a select gate and a coupling electrode which are independently drivable. The coupling electrode is adjacent to a word line in a NAND string and has a voltage applied which reduces gate induced drain lowering (GIDL) program disturb of an adjacent unselected non-volatile storage element. In particular, an elevated voltage can be applied to the coupling electrode when the adjacent word line is used for programming. A reduced voltage is applied when a non-adjacent word line is used for programming. The voltage can also be set based on other programming criterion. The select gate is provided by a first conductive region while the coupling electrode is provided by a second conductive region formed over, and isolated from, the first conductive region.
    Type: Application
    Filed: October 17, 2006
    Publication date: April 17, 2008
    Inventors: Nima Mokhlesi, Masaaki Higashitani
  • Patent number: 7358559
    Abstract: A bi-directional read/program non-volatile memory cell and array is capable of achieving high density. Each memory cell has two spaced floating gates for storage of charges thereon. The cell has spaced apart source/drain regions with a channel therebetween, with the channel having three portions. One of the floating gate is over a first portion; another floating gate is over a second portion, and a gate electrode controls the conduction of the channel in the third portion between the first and second portions. A control gate is connected to each of the source/drain regions, and is also capacitively coupled to the floating gate. The cell programs by hot channel electron injection, and erases by Fowler-Nordheim tunneling of electrons from the floating gate to the gate electrode. Bi-directional read permits the cell to be programmed to store bits, with one bit in each floating gate.
    Type: Grant
    Filed: September 29, 2005
    Date of Patent: April 15, 2008
    Assignee: Silicon Storage Technology, Inc.
    Inventors: Felix (Ying-Kit) Tsui, Jeng-Wei Yang, Bomy Chen, Chun-Ming Chen, Dana Lee, Changyuan Chen
  • Patent number: 7358140
    Abstract: A structure fabrication method. The method comprises providing a design structure that includes (i) a design substrate and (ii) M design normal regions on the design substrate, wherein M is a positive integer greater than 1. Next, N design sacrificial regions are added between two adjacent design normal regions of the M design normal regions, wherein N is a positive integer. Next, an actual structure is provided that includes (i) an actual substrate corresponding to the design substrate, (ii) a to-be-etched layer on the actual substrate, and (iii) a memory layer on the to-be-etched layer. Next, an edge printing process is performed on the memory layer so as to form (a) M normal memory portions aligned with the M design normal regions and (b) N sacrificial memory portions aligned with the N design sacrificial regions.
    Type: Grant
    Filed: November 4, 2005
    Date of Patent: April 15, 2008
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Mark C. Hakey, Steven J. Holmes, David V. Horak, Charles W. Koburger, III
  • Publication number: 20080081419
    Abstract: A substrate of a non-volatile storage system includes selected regions in which additional ions are deeply implanted during the fabrication process. NAND strings are formed over the selected regions such that end word lines of the NAND strings are over the deeply implanted ions. The presence of the deeply implanted ions below the end word lines increases a channel capacitance of the substrate under the end word lines. Due to the increased capacitance, boosting of a channel in the substrate below the end word lines is reduced, thereby reducing the occurrence of gate induced drain leakage (GIDL) and band-to-band tunneling (BTBT) and, consequently, program disturb. A shallow ion implantation may also be made to set a threshold voltage of storage elements of the NAND string.
    Type: Application
    Filed: September 28, 2006
    Publication date: April 3, 2008
    Inventor: Fumitoshi Ito
  • Publication number: 20080076217
    Abstract: A nonvolatile memory array includes floating gates that have an inverted-T shape in cross section along a plane that is perpendicular to the direction along which floating cells are connected together to form a string. Adjacent strings are isolated by shallow trench isolation structures. An array having inverted-T shaped floating gates may be formed in a self-aligned manner.
    Type: Application
    Filed: September 21, 2006
    Publication date: March 27, 2008
    Inventors: Henry Chien, George Matamis, Tuan Pham, Masaaki Higashitani, Hidetaka Horiuchi, Jeffrey W. Lutze, Nima Mokhlesi, Yupin Kawing Fong
  • Patent number: 7348242
    Abstract: A method of fabricating a nonvolatile memory device including forming a plurality of device isolation layers in a semiconductor substrate to define a plurality of active regions, sequentially depositing an insulating layer and a first conductive layer on the semiconductor substrate, and forming a hard mask pattern on the first conductive layer. The method also includes forming a plurality of floating gates on the insulating layer by etching the first conductive layer using the hard mask pattern as a mask, forming a tunnel insulating layer on the semiconductor substrate including floating gates and the insulating layer, and depositing a second conductive layer on the tunnel insulating layer. The method further includes forming a plurality of control gate electrodes across the active regions by etching the second conductive layer, forming source and drain regions in the semiconductor substrate by performing an ion implantation, and forming contacts in the drain regions.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: March 25, 2008
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Tae Ho Choi
  • Patent number: 7348237
    Abstract: Structures and methods for NOR flash memory cells, arrays and systems are provided. The NOR flash memory cell includes a vertical floating gate transistor extending outwardly from a substrate. The floating gate transistor having a first source/drain region, a second source/drain region, a channel region between the first and the second source/drain regions, a floating gate separated from the channel region by a gate insulator, and a control gate separated from the floating gate by a gate dielectric. A sourceline is formed in a trench adjacent to the vertical floating gate transistor and coupled to the first source/drain region. A transmission line coupled to the second source/drain region. And, a wordline is coupled to the control gate perpendicular to the sourceline.
    Type: Grant
    Filed: December 6, 2004
    Date of Patent: March 25, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Publication number: 20080070363
    Abstract: In order to reduce the integrated circuit area that is occupied by an array of a given number of flash memory cells, floating gate charge storage elements are positioned along sidewalls of substrate trenches, preferably being formed of doped polysilicon spacers. An array of dual floating gate memory cells includes cells with this structure, as an example. A NAND array of memory cells is another example of an application of this cell structure. The memory cell and array structures have wide application to various specific NOR and NAND memory cell array architectures.
    Type: Application
    Filed: September 19, 2006
    Publication date: March 20, 2008
    Inventor: Nima Mokhlesi
  • Patent number: 7344944
    Abstract: A non-volatile memory device comprises a gate line that includes a gate dielectric layer, a bottom gate pattern, an inter-gate dielectric and a top gate pattern, which are sequentially stacked. The width of the inter-gate dielectric is narrower than that of the bottom gate pattern.
    Type: Grant
    Filed: January 27, 2006
    Date of Patent: March 18, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyu-Charn Park, Kwang-Shik Shin, Sung-Nam Chang
  • Patent number: 7341914
    Abstract: A method for forming a semiconductor device includes forming a first gate electrode over a semiconductor substrate, wherein the first gate electrode comprises silicon and forming a second gate electrode over the semiconductor substrate and adjacent the first gate electrode, wherein the second gate electrode comprises silicon. Nanoclusters are present in the first gate electrode. A peripheral transistor area is formed devoid of nanoclusters.
    Type: Grant
    Filed: March 15, 2006
    Date of Patent: March 11, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Erwin J. Prinz, Ko-Min Chang, Robert F. Steimle