Doping Of Semiconductive Channel Region Beneath Gate Insulator (e.g., Adjusting Threshold Voltage, Etc.) Patents (Class 438/289)
  • Patent number: 7189607
    Abstract: Wells are formed in a substrate where standard Vt and low Vt devices of both a first and second type are to be fabricated. Wells defining the locations of first type standard Vt devices are masked, and a first voltage threshold implant adjustment is performed within wells defining the second type standard Vt devices, and each of the first and second type low Vt devices. Wells that define the locations of second type standard Vt devices are masked, and a second voltage threshold implant adjustment is performed to the wells defining the first type standard Vt devices, and each of the first and second type low Vt devices. Doped polysilicon gate stacks are then formed over the wells. Performance characteristics and control of each device Vt is controlled by regulating at least one of the first and second voltage threshold implant adjustments, and the polysilicon gate stack doping.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: March 13, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Mark Helm, Xianfeng Zhou
  • Patent number: 7186621
    Abstract: A negative differential resistance (NDR) field-effect transistor element is disclosed, formed on a silicon-based substrate using conventional MOS manufacturing operations. Methods for improving a variety of NDR characteristics for an NDR element, such as peak-to-valley ratio (PVR), NDR onset voltage (VNDR) and related parameters are also disclosed.
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: March 6, 2007
    Assignee: Progressant Technologies, Inc.
    Inventor: Tsu-Jae King
  • Patent number: 7176538
    Abstract: A MOSFET includes an insulated gate electrode on a surface of a semiconductor substrate having an impurity region of first conductivity type therein that extends to the surface. Source and drain regions of second conductivity type are provided in the impurity region. The source region includes a highly doped source contract region that extends to the surface and a lightly doped source extension. The lightly doped source extension extends laterally underneath a first end of the insulated gate electrode and defines a source-side P-N junction with the well region. The drain region includes a highly doped drain contact region that extends to the surface and a lightly doped drain extension. The lightly doped drain extension extends laterally underneath a second end of the insulated gate electrode and defines a drain-side P-N junction with the well region. This well region, which extends within the impurity region and defines a non-rectifying junction therewith, is more highly doped than the impurity region.
    Type: Grant
    Filed: June 3, 2004
    Date of Patent: February 13, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sun-hak Lee, Kwang-dong Yoo
  • Patent number: 7176093
    Abstract: Semiconductor processing methods of forming integrated circuitry are described. In one embodiment, memory circuitry and peripheral circuitry are formed over a substrate. The peripheral circuitry comprises first and second type MOS transistors. Second type halo implants are conducted into the first type MOS transistors in less than all of the peripheral MOS transistors of the first type. In another embodiment, a plurality of n-type transistor devices are formed over a substrate and comprise memory array circuitry and peripheral circuitry. At least some of the individual peripheral circuitry n-type transistor devices are partially masked, and a halo implant is conducted for unmasked portions of the partially masked peripheral circuitry n-type transistor devices. In yet another embodiment, at least a portion of only one of the source and drain regions is masked, and at least a portion of the other of the source and drains regions is exposed for at least some of the peripheral circuitry n-type transistor devices.
    Type: Grant
    Filed: February 26, 2003
    Date of Patent: February 13, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Luan C. Tran
  • Patent number: 7172942
    Abstract: The present invention provides a method for manufacturing a semiconductor elemental device wherein a first gate oxide film and a second gate oxide film thicker than the first gate oxide film are formed on a substrate provided with a device forming region comprised of silicon, comprising the steps of implanting an element for promoting a forming speed of each gate oxide film into a region for forming the second gate oxide film of the substrate; and simultaneously forming the first gate oxide film and the second gate oxide film by a thermal oxidation method, wherein in the element implanting step, the element is implanted in space of a depth equal to half the thickness of the second gate oxide film placed in predetermination of its formation from the surface of the substrate in such a manner that with the peak of a concentration distribution of the element as the center, a concentration distribution in which both sides of the peak is given twice as large as a standard deviation of the concentration distribution
    Type: Grant
    Filed: February 8, 2006
    Date of Patent: February 6, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Koichi Kishiro
  • Patent number: 7172933
    Abstract: A method of forming a channel region for a MOSFET device in a strained silicon layer via employment of adjacent and surrounding silicon-germanium shapes, has been developed. The method features simultaneous formation of recesses in a top portion of a conductive gate structure and in portions of the semiconductor substrate not occupied by the gate structure or by dummy spacers located on the sides of the conductive gate structure. The selectively defined recesses will be used to subsequently accommodate silicon-germanium shapes, with the silicon-germanium shapes located in the recesses in the semiconductor substrate inducing the desired strained channel region. The recessing of the conductive gate structure and of semiconductor substrate portions reduces the risk of silicon-germanium bridging across the surface of sidewall spacers during epitaxial growth of the alloy layer, thus reducing the risk of gate to substrate leakage or shorts.
    Type: Grant
    Filed: June 10, 2004
    Date of Patent: February 6, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yi-Chun Huang, Bow-Wen Chan, Baw-Ching Perng, Lawrence Sheu, Hun-Jan Tao, Chih-Hsin Ko, Chun-Chieh Lin
  • Patent number: 7141477
    Abstract: Into a channel formation region of a semiconductor substrate of p-type silicon, indium ions are implanted at an implantation energy of about 70 keV and a dose of about 5×1013/cm2, thereby forming a p-doped channel layer. Next, germanium ions are implanted into the upper portion of the semiconductor substrate at an implantation energy of about 250 keV and a dose of about 1×1016/cm2, thereby forming an amorphous layer in a region of the semiconductor substrate deeper than the p-doped channel layer.
    Type: Grant
    Filed: October 2, 2003
    Date of Patent: November 28, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Taiji Noda
  • Patent number: 7138318
    Abstract: A method for fabricating a body-tied SOI transistor with reduced body resistance is presented. During the wafer fabrication process, a semiconductor wafer is placed in an ion implantation device and oriented to a first position relative to a beam path of the ion implantation device in order to obtain a substantially non-orthogonal twist orientation between the beam path and the transistor gate edge. Following this orientation of the first position, an ion species is implanted into a first implantation region. The wafer is then rotated to a second substantially non-orthogonal twist orientation, where another ion implantation is conducted. This process continues in the same manner, such that further substantially non-orthogonal twists and ion implantations are conducted, until the desired number of implantation areas is created. Halo or pocket implants are an example of the type of implantations to which the technique may be applied.
    Type: Grant
    Filed: May 28, 2003
    Date of Patent: November 21, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Donggang David Wu, Wen-Jie Qi
  • Patent number: 7118965
    Abstract: A fabricating method of a nonvolatile memory device is disclosed. A disclosed method comprises: implanting ions into an active region of a semiconductor substrate to form a well of a low voltage transistor and adjust its threshold voltage; implanting ions into an active region of the semiconductor substrate to form a well of a high voltage transistor and adjust its threshold voltage, thereby forming a conductive region; depositing an ONO layer on the semiconductor substrate; patterning and etching the ONO layer to form an ONO structure; and forming a gate oxide layer on the semiconductor substrate.
    Type: Grant
    Filed: December 29, 2004
    Date of Patent: October 10, 2006
    Assignee: Dongbu Electronics Co., Ltd.
    Inventors: Sang Bum Lee, Jin Hyo Jung, Sung Woo Kwon
  • Patent number: 7105427
    Abstract: Vacancies and dopant ions are introduced near the surface of a semiconductor wafer. The dopant ions which diffuse by an interstitialcy mechanism have diffusivity greatly reduced, which leads to a very low resistivity doped region and a very shallow junction.
    Type: Grant
    Filed: August 30, 2004
    Date of Patent: September 12, 2006
    Inventors: Wei-Kan Chu, Lin Shao, Xinming Lu, Jiarui Liu, Xuemei Wang
  • Patent number: 7101751
    Abstract: The present invention provides a system for limiting degradation of a first semiconductor structure (304) caused by an electric field (314), generated from within the semiconductor substrate (302) by high voltage on a second semiconductor structure (310). A semiconductor device (300) is adapted to reduce the effective magnitude of the field—as realized at structure 304—to some fractional component (320), or to render the angle (322)—at which the field approaches the first structure through a first substrate region (306)—acute. Certain embodiments of the present invention provide for: lateral recession of the first semiconductor structure to abut an isolation structure (312), which is disposed between the second semiconductor structure and the first substrate region; lateral recession of the first semiconductor structure from the isolation structure, so as to form a moat therebetween; and a counter-doped region (316) within the first substrate region.
    Type: Grant
    Filed: May 21, 2004
    Date of Patent: September 5, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: PR Chidambaram, Greg C. Baldwin
  • Patent number: 7081392
    Abstract: A method for fabricating a gate structure of a FET, having: (a) deposition and patterning of a sacrificial layer sequence on a semiconductor substrate and uncovering of a gate section; (b) implantation of a channel doping into the gate section; (c) deposition and patterning of spacers at the sidewalls of the sacrificial layer sequence with the formation of a gate section that is not covered by the spacers; (d) introduction of a mask material into the gate section that is not covered by the spacers; (e) removal of the spacers selectively with respect to the sacrificial layer sequence and mask material); (f) implantation of a halo doping in regions uncovered by the removed spacers; (g) removal of the mask material; (h) formation of a gate on the gate section; and (j) removal of the sacrificial layer sequence selectively with respect to the gate.
    Type: Grant
    Filed: July 23, 2004
    Date of Patent: July 25, 2006
    Assignee: Infineon Technologies AG
    Inventors: Gerhard Enders, Peter Voigt
  • Patent number: 7071069
    Abstract: A pocket implant process to reduce defects. We provide a gate structure, on a semiconductor substrate doped with a first conductivity type dopant. We perform a pocket amorphizing implantation procedure to form a pocket implant region adjacent to the gate structure, and an amorphous pocket region. Next, we perform a shallow amorphizing implant to form an amorphous shallow implant region. The amorphous shallow implant region being formed at a second depth above the amorphous pocket region. The substrate above the amorphous shallow implant region preferably remains crystalline. We perform a S/D implant procedure to form Deep S/D regions. We perform an anneal procedure preferably comprised of a first soak step and a second spike step to recrystalilze the amorphous shallow implant region and the amorphous pocket region, The defects created by the pocket implant are reduced by the shallow amorphous implant.
    Type: Grant
    Filed: December 22, 2003
    Date of Patent: July 4, 2006
    Assignee: Chartered Semiconductor Manufacturing, LTD
    Inventors: Chung Foong Tan, Hyeokjae Lee, Eng Fong Chor, Elgin Quek
  • Patent number: 7061058
    Abstract: A method of forming a retrograde well in a transistor is provided. A transistor structure having a substrate, a gate, and a gate oxide layer between the substrate and the gate is formed. The substrate includes a channel region located generally below the gate. A first dopant is implanted into the channel region. A second dopant is implanted into the substrate to form a doped source region and a doped drain region. A third dopant is implanted into the gate oxide layer. A source/drain anneal is performed to form a source and a drain in the doped source region and the doped drain region, respectively. The source/drain anneal causes a portion of the first dopant in the channel region to be attracted by the third dopant into the gate oxide layer.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: June 13, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Srinivasan Chakravarthi, Pr Chidambaram, Robert C. Bowen, Haowen Bu
  • Patent number: 7060572
    Abstract: A MOSFET with a short channel structure and manufacturing processes for the same are described. The MOSFET has a substrate, a channel region, a source/drain region, a gate dielectric layer and a conductive layer. The channel region in the substrate includes a first region and a second region, in which the first region has a first threshold voltage and the second region has a second threshold voltage, respectively. The first threshold voltage is smaller than the second threshold voltage. The first threshold voltage of the first region can also be adjusted to reduce or increase effectively the resistance of the MOSFET when the MOSFET is turned on or off. Additionally, the first region has a shallower junction depth than that of the normal source/drain extension.
    Type: Grant
    Filed: August 11, 2004
    Date of Patent: June 13, 2006
    Assignee: Winbond Electronics Corporation
    Inventor: Wen-Yueh Jang
  • Patent number: 7056797
    Abstract: A semiconductor device has a gate electrode formed extending on a first and second gate insulation films formed on P type semiconductor substrate, an N+ type source region adjacent to one end of the gate electrode, an N? type drain region facing said source region through a channel region, having high impurity concentration peak at a position of the predetermined depth at least in said substrate under said first gate insulation film, and formed so that high impurity concentration becomes low at a region near surface of the substrate, an N? type drain region formed so as to range to the N? type drain region, an N+ type drain region separated from the other end of said gate electrode and included in said N? type drain region, and an N type layer formed so as to span from one end portion of said first gate insulation film to said N+ type drain region.
    Type: Grant
    Filed: April 10, 2001
    Date of Patent: June 6, 2006
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Shuichi Kikuchi, Eiji Nishibe, Takuya Suzuki
  • Patent number: 7053450
    Abstract: A MISFET in a semiconductor device has a gate insulating film provided on a substrate, a gate electrode provided on the gate insulating film, sidewalls provided on the side surfaces of the gate electrode, lightly doped diffusion layers provided in the respective regions of the substrate located below the edge portions of the gate electrodes, heavily doped diffusion layers provided in the respective regions of the substrate located laterally below the gate electrode and the sidewalls, and pocket diffusion layers covering the lower portions of the lightly doped diffusion layers and parts of the side surfaces thereof in overlapping relation with each other below the gate electrode. Impurity concentrations in the pocket diffusion layers are set such that the threshold of the MISFET has a desired value.
    Type: Grant
    Filed: April 29, 2004
    Date of Patent: May 30, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Naoki Kotani
  • Patent number: 7041560
    Abstract: A method of manufacturing a semiconductor device includes providing a semiconductor substrate having a heavily doped region of a first conductivity and has a lightly doped region of the first conductivity. The semiconductor substrate a plurality of trenches etched into an active region of the substrate forming a plurality of mesas. A preselected area in the active region is oxidized and then etched using a dry process oxide etch to remove the oxide in the bottoms of the trenches. A protective shield is formed over a region at a border between the active region and the termination region. The protective shield is partially removed from over the preselected area. Dopants are implanted at an angle into mesas in the preselected area. The plurality of trenches are with an insulating material, the top surface of the structure is planarized and a superjunction device is formed on the structure.
    Type: Grant
    Filed: December 10, 2004
    Date of Patent: May 9, 2006
    Assignee: Third Dimension (3D) Semiconductor, Inc.
    Inventor: Fwu-Iuan Hshieh
  • Patent number: 7022560
    Abstract: A method for fabrication of a high-voltage, high-frequency MOS-transistor combines a deep n-well and a p-well process and the formation of an extended drain region (45), and a channel region (31), the channel having a short length and becoming well aligned with the gate edge. The deep n-well (11) and the p-well (19) are both produced by ion implantation. The method is compatible with a standard CMOS process and gives low manufacturing costs, increased breakdown voltage, better overall high-frequency performance, and the prevention of the “body effect” occurring by isolation of the p-well.
    Type: Grant
    Filed: May 25, 2004
    Date of Patent: April 4, 2006
    Assignee: Infineon Technologies AG
    Inventor: Peter Olofsson
  • Patent number: 7018882
    Abstract: A method of forming a substrate for use in IC device fabrication includes preparing a silicon substrate, including doping a bulk silicon (100) substrate with ions taken from the group of ions to form a doped substrate taken from the group of doped substrates consisting of n-type doped substrates and p-type doped substrates; forming a first relaxed SiGe layer on the silicon substrate; forming a first tensile-strained silicon cap on the first relaxed SiGe layer; forming a second relaxed SiGe layer on the first tensile-strained silicon cap; forming a second tensile-strained silicon cap on the second relaxed SiGe layer; and completing an IC device.
    Type: Grant
    Filed: March 23, 2004
    Date of Patent: March 28, 2006
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Douglas J. Tweet, Sheng Teng Hsu, Jer-Shen Maa
  • Patent number: 7012006
    Abstract: A ROM embedded DRAM provides ROM cells that can be programmed to a single state. The ROM cells include capacitors having a storage node. The storage node is processed to have a substantially high substrate leakage. The ROM cells, therefore, are hard programmed to a logic zero state. Bias techniques can be used to read un-programmed ROM cells accurately. As described, sense amplifier circuitry can be offset in one embodiment to default to the un-programmed state. In another embodiment, bias circuitry is coupled to bit lines to favor the un-programmed state. A differential pre-charge operation can also be used in another embodiment.
    Type: Grant
    Filed: August 23, 2004
    Date of Patent: March 14, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Casey Kurth, Scott Derner, Phillip G. Wald
  • Patent number: 7001816
    Abstract: A ROM embedded DRAM provides ROM cells that can be programmed to a single state. The ROM cells include capacitors having a storage node. The storage node is processed to have a substantially high substrate leakage. The ROM cells, therefore, are hard programmed to a logic zero state. Bias techniques can be used to read un-programmed ROM cells accurately. As described, sense amplifier circuitry can be offset in one embodiment to default to the un-programmed state. In another embodiment, bias circuitry is coupled to bit lines to favor the un-programmed state. A differential pre-charge operation can also be used in another embodiment.
    Type: Grant
    Filed: August 24, 2004
    Date of Patent: February 21, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Casey Kurth, Scott Derner, Phillip G. Wald
  • Patent number: 6998316
    Abstract: A fabrication method for a read only memory provides a substrate having a memory cell region and a periphery circuit region. A memory cell region has a memory cell array and the periphery circuit region has transistors. A precise layer having a plurality of first openings is formed in the memory cell region. The first openings are above the channel region of each memory cell in the memory cell array and the critical dimension of the first openings is identical. A mask layer having second openings and third openings is formed on the substrate. The second openings locate over a pre-coding memory cell region, and the third openings locate over the transistor gates. An ion implantation is performed to code the memory cell in the pre-coding memory cell region and to adjust the threshold voltage of the transistor, using the precise layer and the mask layer as a mask.
    Type: Grant
    Filed: February 18, 2004
    Date of Patent: February 14, 2006
    Assignee: Macronix International Co, Ltd.
    Inventors: Tahorng Yang, Henry Chung, Cheng-Chen Calvin Hsueh, Ching-Yu Chang
  • Patent number: 6998318
    Abstract: A method for forming short-channel transistors, including removing a residual sacrificial layer pattern used to mask an LDD ion-implant layer through etching; forming second spacers on side walls where the residual sacrificial layer pattern is removed; forming a punch-stop ion implant layer between the second spacers; etching the first oxide layer, and forming a gate insulation layer; and forming a gate where the residual sacrificial layer pattern is removed.
    Type: Grant
    Filed: July 25, 2003
    Date of Patent: February 14, 2006
    Assignee: DongbuAnam Semiconductor Inc.
    Inventor: Jeong Ho Park
  • Patent number: 6989322
    Abstract: Very low resistance, scaled in MOSFET devices are formed by employing thin silicidation-stop extension that act both as a silicidation “stop” barriers and as thin interface layers between source/drain silicide regions and channel region of the MOSFET. By acting as silicidation stops, the silicidation-stop extensions confine silicidation, and are not breached by source/drain silicide. This permits extremely thin, highly-doped silicidation-stop extensions to be formed between the silicide and the channel, providing an essentially ideal, low series resistance interface between the silicide and the channel.
    Type: Grant
    Filed: November 25, 2003
    Date of Patent: January 24, 2006
    Assignee: International Business Machines Corporation
    Inventors: Oleg G. Gluschenkov, Cyril Cabral, Jr., Omer Dokumaci, Christian Lavoie
  • Patent number: 6982229
    Abstract: An integrated circuit (IC) includes a CMOS device formed above a semiconductor substrate having ions therein that are implanted in the semiconductor substrate by an ion recoil procedure. The IC preferably, but not necessarily, incorporates sub-0.1 micron technology in the CMOS device. The implanted ions may preferably be germanium ions. A strained-silicon layer is preferably, but not necessarily, formed above the ion-implanted layer of the semiconductor substrate. The strained-silicon layer may be formed by a silicon epitaxial growth on the ion-implanted layer or by causing the ions to recoil into the semiconductor substrate with such energy that a region of the semiconductor substrate in the vicinity of the surface thereof is left substantially free of the ions, thereby forming a strained-silicon layer in the substantially ion-free region.
    Type: Grant
    Filed: April 18, 2003
    Date of Patent: January 3, 2006
    Assignee: LSI Logic Corporation
    Inventors: Agajan Suvkhanov, Mohammad R. Mirabedini
  • Patent number: 6979609
    Abstract: A method for processing dual threshold nMOSFETs and pMOSFETs requiring only one additional masking and implantation operation over single threshold MOSFETs is disclosed. The additional mask and implant operation both enhances the threshold voltage doping of one type of FET and compensates the threshold voltage doping of another type of FET. Where a first threshold voltage implant sets the threshold voltage for an NMOS device to a low threshold voltage, and a second threshold voltage implant sets the threshold voltage for a PMOS device to a high threshold voltage, a third implant may both enhance a NMOS device threshold implant to set the threshold voltage high while compensating a PMOS device threshold implant to set the threshold voltage low.
    Type: Grant
    Filed: April 30, 2003
    Date of Patent: December 27, 2005
    Assignee: Intel Corporation
    Inventors: Ian R. Post, Kaizad Mistry
  • Patent number: 6972234
    Abstract: A method of fabricating CMOS devices suitable for high voltage and low voltage applications, while maintaining minimum channel lengths for the devices. In one embodiment, pocket implants (310) are formed in a minimum channel device causing a reverse channel effect. The reverse channel effect is optimized for the minimum channel length of the device. Field implants (120), enhancement implants (130), and wells (140) are all formed using a single mask.
    Type: Grant
    Filed: June 28, 2000
    Date of Patent: December 6, 2005
    Assignee: Altera Corporation
    Inventors: Raminda U. Madurawe, David K. Y. Liu
  • Patent number: 6969652
    Abstract: Circuits and methods to design and to fabricate said circuits to accomplish a two-level DRAM cell or a multilevel DRAM cell using a natural transistor have been achieved. The usage of a natural transistor, having a threshold voltage of close to zero, as a pass transistor reduces the amount of current required for a read operation significantly. The usage of a natural transistor in a multi-level DRAM is enabling to implement easily a high number of voltage levels, and thus more information, in one DRAM cell and is reducing the amount of output current required as well. The fabrication of said DRAM cells in an integrated circuit, comprising a natural transistor and standard transistors, include masking of the natural transistor during the ion implantation to avoid impurities increasing the threshold voltage.
    Type: Grant
    Filed: July 8, 2003
    Date of Patent: November 29, 2005
    Assignee: Dialog Semiconductor GmbH
    Inventor: Horst Knoedgen
  • Patent number: 6960511
    Abstract: A semiconductor device, which can prevent a current capability from deteriorating with time, is disclosed. A P-channel type LDMOS is formed in an N-type monocrystal silicon substrate. The P-channel type LDMOS includes: a P-type impurity diffusion layer formed in a well shape so as to reach a predetermined depth; a channel well layer formed by double-diffusing N-type impurities; a source diffusion layer; a potential fixing electrode; drain-contact electrode; a LOCOS oxide film; a gate electrode; a drain electrode; a source electrode; and so on. Especially, the gate electrode is formed so as to overlap onto the LOCOS oxide film, and its protrusion amount onto the LOCOS oxide film (gate overlap length O/L) is set to about 10 ?m, which is substantially ½ of a width size of the LOCOS oxide film.
    Type: Grant
    Filed: August 18, 2003
    Date of Patent: November 1, 2005
    Assignee: Denso Corporation
    Inventors: Hiroyasu Ito, Toshimasa Yamamoto
  • Patent number: 6960499
    Abstract: A field effect transistor with a dual-counterdoped channel is disclosed. The transistor features a channel comprising a first doped region (28) and a second doped region (26) underlying the first doped region. A source and drain (32) are formed adjacent to the channel. In one embodiment of the present invention, the first doped region (28) is doped with arsenic, while the second doped region (26) is doped with phosphorus. The high charge-carrier mobility of the subsurface channel layer (28) allowing a lower channel dopant concentration to be used, which in turn allows lower source/drain pocket doping. This reduces the capacitance and response time of the transistor.
    Type: Grant
    Filed: June 14, 2004
    Date of Patent: November 1, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Mahalingam Nandakumar, Karthik Vasanth, Ih-Chin Chen
  • Patent number: 6958278
    Abstract: Semiconductor devices having a dual gate and method for fabricating the same are disclosed. A disclosed example method comprises: forming dummy gates in a semiconductor substrate; sequentially forming a lightly doped drain (LDD) region, a spacer and a source/drain; depositing an insulation film above the semiconductor substrate; exposing the dummy gates by planarizing the insulation film; removing the dummy gates; selectively injecting impurities into a region associated with at least one of the removed dummy gates; forming gate oxide films having different thicknesses on the regions associated with the removed dummy gates; depositing a polysilicon layer above the gate oxide films; and then forming polysilicon gates by planarizing the polysilicon layer.
    Type: Grant
    Filed: December 26, 2003
    Date of Patent: October 25, 2005
    Assignee: DongbuAnam Semiconductor, Inc.
    Inventor: Ki-Min Lee
  • Patent number: 6958271
    Abstract: The present invention relates to methods of fabricating dual-level flash memory cells. A first active region and a second active region are formed in a substrate. A trench is formed in the substrate between the first active region and the second active region. A first insulator dielectric is formed on the substrate and within the trench forming a vertical structure. A first poly layer is formed on the first insulator dielectric. A second insulator dielectric is formed on at least a portion of the first poly layer. A second poly layer is formed on the second insulator dielectric.
    Type: Grant
    Filed: August 4, 2003
    Date of Patent: October 25, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: James Pan, Ning Cheng, Christy Mein Chu Woo
  • Patent number: 6957158
    Abstract: Methods and devices for monitoring distributed electric power are disclosed, including energy devices with a sensor for monitoring an electric circuit, and a memory to store sensor measurements. Various techniques are disclosed for using polymeric RAM, 1T-DRAM, enhanced SRAM, magnetoresistive RAM, organic RAM, chalcogenide RAM, holographic memory, PLEDM, single-electron RAM, fractal cluster glass memory and other technologies in energy devices with high-endurance, high-density, high-capacity, non-volatile, solid-state, or removable memories.
    Type: Grant
    Filed: December 23, 2002
    Date of Patent: October 18, 2005
    Assignee: Power Measurement Ltd.
    Inventors: Martin A. Hancock, Aaron J. Taylor, Simon H. Lightbody
  • Patent number: 6951785
    Abstract: A method of forming a field effect transistor may include forming a doped layer at a surface of a semiconductor substrate, and forming a groove through the doped layer at the surface of the semiconductor substrate while maintaining portions of the doped layer on opposite sides of the groove. A gate insulating layer may be formed on a surface of the groove, and a gate electrode may be formed on the gate insulating layer in the groove.
    Type: Grant
    Filed: April 26, 2004
    Date of Patent: October 4, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-Woo Oh, Dong-Gun Park, Jeong-Dong Choe, Chang-Sub Lee
  • Patent number: 6949796
    Abstract: A halo implant method for forming halo regions of at least first and second transistors formed on a same semiconductor substrate. The first transistor comprises a first gate region disposed between first and second semiconductor regions. The second transistor comprises a second gate region disposed between third and fourth semiconductor regions. The method comprises the steps of, in turn, halo-implanting each of the first, second, third, and fourth semiconductor regions, with the other three semiconductor regions being masked, in a projected direction which (i) is essentially perpendicular to the direction of the respective gate region and (ii) points from the halo-implanted semiconductor region to the respective gate region.
    Type: Grant
    Filed: September 21, 2004
    Date of Patent: September 27, 2005
    Assignee: International Business Machines Corporation
    Inventors: John J. Ellis-Monaghan, Kirk D. Peterson, Jeffrey S. Zimmerman
  • Patent number: 6936527
    Abstract: A memory cell comprises a multilayer gate heating structure formed over a channel region between source and drain regions. The multilayer gate heating structure comprises polysilicon and metal silicide layers stacked over a similarly shaped gate oxide. When a programming voltage is applied across the metal silicide layer, there is intense localized heating. The heating causes segregation of the channel dopant atoms towards the source and drain regions, lowering the threshold voltage of the device. The heating causes carrier activation in the polysilicon layer and dopant penetration through the oxide layer into the channel region, thereby increasing the threshold voltage of the device.
    Type: Grant
    Filed: October 24, 2003
    Date of Patent: August 30, 2005
    Assignee: Xilinx, Inc.
    Inventor: Kevin T. Look
  • Patent number: 6930007
    Abstract: The present invention facilitates semiconductor device operation and fabrication by providing a cap-annealing process that improves channel electron mobility without substantially degrading PMOS transistor devices. The process uses an oxide/nitride composite-cap to alter the active dopant profile across the channel regions. During an annealing process, dopants migrate out of the Si/SiO2 in a channel region thereby altering the dopant profile of the channel region. This altered profile generally improves channel mobility thereby improving transistor performance and permitting smaller density designs.
    Type: Grant
    Filed: September 15, 2003
    Date of Patent: August 16, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Haowen Bu, Shashank Ekbote, Rajesh Khamankar, Shaoping Tang, Freidoon Mehrad
  • Patent number: 6927151
    Abstract: A method of manufacturing a semiconductor device is disclosed which comprises, forming a first well region by performing an ion implantation process for implanting first ions into a semiconductor substrate, and then forming a second well region in the first well region by performing an ion implantation process for implanting second ions having larger mass than the first ions; and forming a three-part or three-fold well region by performing an annealing process on the result structure wherein the lighter first ions are disposed in the upper and lower well regions and the heavier second ions are disposed in the middle well region.
    Type: Grant
    Filed: December 4, 2003
    Date of Patent: August 9, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Noh Yeal Kwak
  • Patent number: 6927137
    Abstract: A method of forming a retrograde well in a transistor is provided. A transistor structure having a substrate, a gate, and a gate oxide layer between the substrate and the gate is formed. The substrate includes a channel region located generally below the gate. A first dopant is implanted into the channel region. A second dopant is implanted into the substrate to form a doped source region and a doped drain region. A third dopant is implanted into the gate oxide layer. A source/drain anneal is performed to form a source and a drain in the doped source region and the doped drain region, respectively. The source/drain anneal causes a portion of the first dopant in the channel region to be attracted by the third dopant into the gate oxide layer.
    Type: Grant
    Filed: December 1, 2003
    Date of Patent: August 9, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Srinivasan Chakravarthi, Pr Chidambaram, Robert C. Bowen, Haowen Bu
  • Patent number: 6924190
    Abstract: This invention relates to a method and resulting structure, wherein a DRAM may be fabricated by using silicon midgap materials for transistor gate electrodes, thereby improving refresh characteristics of access transistors. The threshold voltage may be set with reduced substrate doping requirements. Current leakage is improved by this process as well.
    Type: Grant
    Filed: October 24, 2002
    Date of Patent: August 2, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Charles H. Dennison
  • Patent number: 6921913
    Abstract: A strained-channel transistor structure with lattice-mismatched zone and fabrication method thereof. The transistor structure includes a substrate having a strained channel region, comprising a first semiconductor material with a first natural lattice constant, in a surface, a gate dielectric layer overlying the strained channel region, a gate electrode overlying the gate dielectric layer, and a source region and drain region oppositely adjacent to the strained channel region, with one or both of the source region and drain region comprising a lattice-mismatched zone comprising a second semiconductor material with a second natural lattice constant different from the first natural lattice constant.
    Type: Grant
    Filed: March 4, 2003
    Date of Patent: July 26, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yee-Chia Yeo, Chun-Chieh Lin, Wen-Chin Lee, Chenming Hu
  • Patent number: 6919600
    Abstract: A permanently-ON MOS transistor comprises silicon source and drain regions of a first conductivity type in a silicon well region of a second conductivity type. A silicon contact region of the first conductivity types is buried in the well region, said contact region contacting said source region and said drain region. A first gate insulating layer is selectively placed over the silicon source and drain regions. A second gate insulating layer is selectively placed over the first gate insulating layer and over the silicon contact region. A polysilicon gate region is placed over the second gate insulating layer.
    Type: Grant
    Filed: February 26, 2004
    Date of Patent: July 19, 2005
    Assignee: HRL Laboratories, LLC
    Inventors: James P. Baukus, Lap-Wai Chow, William M. Clark, Jr.
  • Patent number: 6908820
    Abstract: Disclosed is a method of manufacturing a semiconductor device. A plurality of device separation regions are formed in an SOI layer of an SOI substrate, a desired impurity is implanted into a body portion of an Si active layer region, and therereafter a gate electrode is formed with a gate insulation film therebetween. Thereafter, an impurity is implanted into the Si active layer region to form extension portions of source/drain portions, and then an impurity different in polarity from the impurity in the source/drain portions is halo-implanted to form a reverse-characteristic layer. In the halo implantation, the range of projection is set to reach the inside of a buried oxide film. With this configuration, in a fully depleted SOI-MOSFET or the like provided with a thin film SOI layer, it is made possible to simultaneously achieve an improvement of roll-off characteristic and a reduction in parasitic resistance and to secure a sufficient driving capability.
    Type: Grant
    Filed: March 18, 2003
    Date of Patent: June 21, 2005
    Assignee: Sony Corporation
    Inventor: Kazuhide Koyama
  • Patent number: 6905920
    Abstract: A method for the fabrication of a field-effect transistor wherein after forming a semiconductor layer serving as an active layer on a substrate, the substrate temperature is set at no higher than 100° C., a gate insulating film is formed on the semiconductor layer. Then, the gate insulating film is heat treated in an atmosphere containing water. By heat treating in the atmosphere containing water, OH bonds in the vicinity of the insulating film interface are reduced and, therefore, the CV characteristic thereof is improved.
    Type: Grant
    Filed: August 31, 2001
    Date of Patent: June 14, 2005
    Assignee: Seiko Epson Corporation
    Inventors: Seiichiro Higashi, Daisuke Abe
  • Patent number: 6888202
    Abstract: An integrated circuit is provided comprising a latch circuit including, a first inverter including a first high threshold voltage PMOS transistor and a first high threshold voltage NMOS transistor with a first data node comprising interconnected source/drains (S/D) of the first PMOS and NMOS transistors; a second inverter including a second high threshold voltage PMOS transistor and a second high threshold voltage NMOS transistor with a second data node comprising interconnected source/drains (S/D) of the second PMOS and NMOS transistors; wherein the gates of the first PMOS and first NMOS transistors are coupled to the second data node; wherein the gates of the second PMOS and second NMOS transistors are coupled to the first data node; a first low threshold voltage access transistor including a first S/D coupled to the first data node and to the gate of the second PMOS transistor and to the gate of the second NMOS transistor and including a second S/D coupled to a first data access node and including a gate c
    Type: Grant
    Filed: March 27, 2003
    Date of Patent: May 3, 2005
    Assignee: The Regents of the University of California
    Inventors: Sung-Mo Kang, Seung-Moon Yoo
  • Patent number: 6887735
    Abstract: An anti-reflective coating having a composite layer of silicon nitride and silicon dioxide may be formed over the entire photosensitive region of the photodetector to minimize the amount of reflection. The composite layer comprises a silicon nitride layer and a dielectric layer contiguous to the silicon nitride layer. The anti-reflective coating may be formed in a CMOS process for fabricating the PN junction in the photodiode and CMOS devices for amplifying the photodetector signal, where the polysilicon gate layer is used as a etch stop. The P+ or N+ material in the PN junction of the photodiode has a distributed design where two portions of the region are separated by a distance in the range of Xd to 2Xd, where Xd is the one-sided junction depletion width, to enhance the electric field and to reduce the distance traveled by the carriers for enhancing bandwidth. A heavily doped region of the opposite type may be added between the two portions to further enhance the electric field.
    Type: Grant
    Filed: February 24, 2003
    Date of Patent: May 3, 2005
    Assignee: Capella Microsystems, Inc.
    Inventor: Koon Wing Tsang
  • Patent number: 6884688
    Abstract: A MOS transistor includes a drain zone, a source zone, and a gate electrode. Doping atoms of the first conductivity type are implanted in the region of the drain zone and the source zone by at least two further implantation steps such that a pn junction between the drain zone and a substrate region is vertically shifted and a voltage ratio of the MOS transistor between a lateral breakdown voltage and a vertical breakdown voltage can be set.
    Type: Grant
    Filed: October 2, 2002
    Date of Patent: April 26, 2005
    Assignee: Infineon Technologies AG
    Inventors: Kai Esmark, Harald Gossner, Gunther Mackh, Richard Owen, Franz Zängl
  • Patent number: 6881634
    Abstract: In one embodiment, a buried-channel transistor is fabricated by masking a portion of an active region adjacent to a trench and implanting a dopant in an exposed portion of the active region to adjust a threshold voltage of the transistor. By masking a portion of the active region, the dopant is substantially prevented from getting in a region near an edge of the trench. Among other advantages, this results in reduced leakage current.
    Type: Grant
    Filed: August 30, 2002
    Date of Patent: April 19, 2005
    Assignee: Cypress Semiconductor Corporation
    Inventor: Jeffrey T. Watt
  • Patent number: 6879007
    Abstract: A semiconductor device has at least one high-voltage and low-voltage transistor on a single substrate. The reliability of the high-voltage transistor is enhanced by performing a LDD implantation in only the high-voltage transistor prior to conducting an oxidation process to protect the substrate and gate electrode. After the oxidation process is performed, the low-voltage transistor is subjected to an LDD implantation process. The resultant semiconductor device provides a high-voltage transistor having a deeper LDD region junction depth than the low-voltage transistor, ensuring reliability and performance.
    Type: Grant
    Filed: August 8, 2002
    Date of Patent: April 12, 2005
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Yoshiji Takamura