Having Stacked Capacitor Structure (e.g., Stacked Trench, Buried Stacked Capacitor, Etc.) Patents (Class 438/387)
  • Patent number: 7651908
    Abstract: A method of fabricating an image sensor which reduces fabricating costs through simultaneous formation of capacitor structures and contact structures may be provided. The method may include forming a lower electrode on a substrate, forming an interlayer insulating film on the substrate, the interlayer insulating film may have a capacitor hole to expose a first portion of the lower electrode.
    Type: Grant
    Filed: February 15, 2007
    Date of Patent: January 26, 2010
    Assignee: Samsung Electronic Co., Ltd.
    Inventors: Gil-Sang Yoo, Byung-Jun Park
  • Patent number: 7645675
    Abstract: A parallel plate capacitor formed in the back end of an integrated circuit employs conductive capacitor plates that are formed simultaneously with the other interconnects on that level of the back end (having the same material, thickness, etc). The capacitor plates are set into the interlevel dielectric using the same process as the other interconnects on that level of the back end (preferably dual damascene). Some versions of the capacitors have perforations in the plates and vertical conductive members connecting all plates of the same polarity, thereby increasing reliability, saving space and increasing the capacitive density compared with solid plates.
    Type: Grant
    Filed: January 13, 2006
    Date of Patent: January 12, 2010
    Assignee: International Business Machines Corporation
    Inventors: Douglas D. Coolbaugh, Hanyi Ding, Ebenezer E. Eshun, Michael D. Gordon, Zhong-Xiang He, Anthony K. Stamper
  • Patent number: 7638828
    Abstract: The invention concerns a capacitor whereof one first electrode consists of a highly doped active region (D) of a semiconductor component (T) formed on one side of a surface of a semiconductor body, and whereof the second electrode consists of a conductive region (BR) coated with insulation (IL) formed beneath said active region and embedded in the semiconductor body.
    Type: Grant
    Filed: January 12, 2004
    Date of Patent: December 29, 2009
    Assignee: STMicroelectronics S.A.
    Inventor: Jean-Pierre Schoellkopf
  • Patent number: 7632707
    Abstract: The present invention discloses an electronic device package and a method of the package. In particular, an electronic device package and a method of the package suitable for a bumpless electronic device package with enhanced electrical performance and heat-dissipation efficiency are disclosed. The method comprises: providing a substrate having a plurality of vias and a plurality of electronic devices; forming a gluing layer on a surface of the substrate and fixing the electronic devices on the gluing layer, wherein the electronic devices have I/O units aligned with the vias respectively; forming a plurality of fixing layers in the gaps between the electronic devices; trenching a plurality of openings aligned with the vias respectively in the fixing layer; forming a plurality of metallic conductive units in the vias, the openings and part of the surface of the substrate; and forming a passivation layer over the other surface of the substrate.
    Type: Grant
    Filed: November 9, 2005
    Date of Patent: December 15, 2009
    Assignee: Industrial Technology Research Institute
    Inventors: Shou-Lung Chen, Ching-Wen Hsiao, Yu-Hua Chen, Jeng-Dar Ko, Jyh-Rong Lin
  • Patent number: 7629221
    Abstract: Disclosed is a method for forming a capacitor of a semiconductor device. In such a method, a mold insulating layer is formed on an insulating interlayer provided with a storage node plug, and the mold insulating layer is etched to form a hole through which the storage node plug is exposed. Next, a metal storage electrode with an interposed WN layer is formed on a hole surface including the exposed storage node plug and the mold insulating layer is removed. Finally, a dielectric layer and a plate electrode are formed in order on the metal storage electrode.
    Type: Grant
    Filed: July 1, 2005
    Date of Patent: December 8, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Ki Seon Park, Jae Sung Roh, Hyun Chul Sohn
  • Patent number: 7626257
    Abstract: Vertically stacked integrated circuits and methods of fabrication thereof are disclosed. Deep vias that provide vertical electrical connection for vertically stacked integrated circuits are formed early in the manufacturing process, before integrated circuits are bonded together to form a three dimensional integrated circuit (3D-IC).
    Type: Grant
    Filed: January 18, 2006
    Date of Patent: December 1, 2009
    Assignee: Infineon Technologies AG
    Inventor: Andreas Knorr
  • Patent number: 7615460
    Abstract: A method for manufacturing a semiconductor device includes the steps of forming a conductive hard mask coupled to the semiconductor substrate via discharge plugs on a thick insulating film, selectively etching the thick insulating film by using the conductive hard mask to form cylindrical holes in the thick insulating film. The resultant cylindrical holes are free form bowing structure.
    Type: Grant
    Filed: May 5, 2006
    Date of Patent: November 10, 2009
    Assignee: Elpida Memory, Inc.
    Inventor: Yasuhiko Ueda
  • Patent number: 7608881
    Abstract: A thin-film device comprises: a substrate; a flattening film made of an insulating material and disposed on the substrate; and a capacitor provided on the flattening film. The capacitor incorporates: a lower conductor layer disposed on the flattening film; a dielectric film disposed on the lower conductor layer; and an upper conductor layer disposed on the dielectric film. The thickness of the dielectric film falls within a range of 0.02 to 1 ?m inclusive and is smaller than the thickness of the lower conductor layer. The surface roughness in maximum height of the top surface of the flattening film is smaller than that of the top surface of the substrate and equal to or smaller than the thickness of the dielectric film. The surface roughness in maximum height of the top surface of the lower conductor layer is equal to or smaller than the thickness of the dielectric film.
    Type: Grant
    Filed: October 27, 2006
    Date of Patent: October 27, 2009
    Assignee: TDK Corporation
    Inventors: Hajime Kuwajima, Masahiro Miyazaki, Akira Furuya
  • Patent number: 7595250
    Abstract: There are provided the steps of forming an insulating film over a semiconductor substrate, forming sequentially a first conductive film, a dielectric film, a second conductive film on the insulating film, etching the second conductive film and the dielectric film into a first pattern shape by using a first mask, removing the first mask, and etching simultaneously the first conductive film and the second conductive film having the first pattern shape by using a second mask to form a plurality of capacitor upper electrodes made of the second conductive film and also form a plate line as a capacitor lower electrode, which is covered with the dielectric film having the first pattern shape and has a contact region, made of the first conductive film. Accordingly, a plurality of capacitors can be formed on the capacitor lower electrode with good precision.
    Type: Grant
    Filed: July 21, 2006
    Date of Patent: September 29, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Yoichi Okita, Genichi Komuro
  • Patent number: 7582525
    Abstract: A method for fabricating a semiconductor device is provided. The method includes: forming an inter-layer insulation layer on a substrate; forming storage node contact plugs penetrating into the inter-layer insulation layer; forming a stack structure formed by stacking a first protective barrier layer and a sacrificial layer on the inter-layer insulation layer; performing an etching process to the first protective barrier layer and the sacrificial layer in a manner to have a trenches opening upper portions of the storage node contact plugs; forming storage nodes having a cylinder type inside of the trenches; forming a second protective barrier layer filling the inside of the storage nodes having the cylinder type; removing the sacrificial layer through performing a wet dip-out process; removing the first protective barrier layer and the second protective barrier layer; and sequentially forming a dielectric layer and a plate node on the storage nodes.
    Type: Grant
    Filed: December 20, 2005
    Date of Patent: September 1, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Keun-Kyu Kong, Jae-Chang Jung
  • Patent number: 7575971
    Abstract: According to some embodiments, a capacitor includes a storage conductive pattern, a storage electrode having a complementary member enclosing a storage conductive pattern so as to complement an etch loss of the storage electrode, a dielectric layer disposed on the storage electrode, and a plate electrode disposed on the dielectric layer. Because the complementary member compensates for the etch loss of the storage electrode during several etching processes, the deterioration of the structural stability of the storage electrode may be prevented. Additionally, because the complementary member is formed on an upper portion of the storage electrode, the storage electrode may have a sufficient thickness to enhance the electrical characteristics of the capacitor that includes the storage electrode.
    Type: Grant
    Filed: August 11, 2006
    Date of Patent: August 18, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Je-Min Park, Jin-Jun Park
  • Patent number: 7572695
    Abstract: Embodiments of a dielectric layer containing a hafnium titanium oxide film structured as one or more monolayers include the dielectric layer disposed in an integrated circuit. Embodiments of methods of fabricating such a dielectric layer provide a dielectric layer for use in a variety of electronic devices.
    Type: Grant
    Filed: May 27, 2005
    Date of Patent: August 11, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 7572710
    Abstract: The invention comprises methods of forming a conductive contact to a source/drain region of a field effect transistor, and methods of forming local interconnects. In one implementation, a method of forming a conductive contact to a source/drain region of a field effect transistor includes providing gate dielectric material intermediate a transistor gate and a channel region of a field effect transistor. At least some of the gate dielectric material extends to be received over at least one source/drain region of the field effect transistor. The gate dielectric material received over the one source/drain region is exposed to conditions effective to change it from being electrically insulative to being electrically conductive and in conductive contact with the one source/drain region. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: September 21, 2006
    Date of Patent: August 11, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, Gurtej S. Sandhu, H. Montgomery Manning
  • Patent number: 7566614
    Abstract: Disclosed are a capacitor of a semiconductor device and a method of fabricating the same. The capacitor includes a capacitor top electrode, a capacitor bottom electrode aligned with a bottom surface and three lateral sides of the capacitor top electrode, and a capacitor insulating layer between the capacitor top electrode and the capacitor bottom electrode.
    Type: Grant
    Filed: October 26, 2006
    Date of Patent: July 28, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Ki Min Lee
  • Patent number: 7563670
    Abstract: A method of forming a vertical DRAM device. A lower trench is filled with polycrystalline or amorphous semiconductor for a capacitor. An upper trench portion has exposed sidewalls of single-crystal semiconductor. The method then includes etching the single-crystal semiconductor sidewalls to widen the upper trench portion beyond the exposed upper surface of the semiconductor fill of the capacitor to form exposed regions of single-crystal semiconductor on a bottom portion of the upper trench adjacent to the exposed upper surface of the semiconductor fill. A trench top insulating layer is deposited on the bottom portion of the upper trench, over the upper surface of the semiconductor fill and over the adjacent regions of single-crystal semiconductor. The method then includes forming a vertical gate dielectric layer, wherein the trench top insulating layer extends below the vertical gate insulating layer.
    Type: Grant
    Filed: November 13, 2006
    Date of Patent: July 21, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Richard O. Henry, Kenneth T. Settlemyer, Jr.
  • Patent number: 7560799
    Abstract: A method for fabricating a contact of a semiconductor device structure includes forming a barrier layer that is entirely recessed within a contact aperture. A central region of the barrier layer may be recessed relative to at least a portion of an outer periphery of the barrier layer. Semiconductor device structures including such contacts are also disclosed. Such a contact may be part of a memory cell.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: July 14, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Darwin A. Clampitt
  • Patent number: 7560334
    Abstract: A method and system for fabricating a stacked capacitor and a DMOS transistor are disclosed. In one aspect, the method and system include providing a bottom plate, an insulator, and an additional layer including first and second plates. The insulator covers at least a portion of the bottom plate and resides between the first and second top plates and the bottom plate. The first and second top plates are electrically coupled through the bottom plate. In another aspect, the method and system include forming a gate oxide. The method and system also include providing SV well(s) after the gate oxide is provided. A portion of the SV well(s) resides under a field oxide region of the device. Each SV well includes first, second, and third implants having a sufficient energy to provide the portion of the SV well at a desired depth under the field oxide region without significant additional thermal processing. A gate, source, and drain are also provided.
    Type: Grant
    Filed: October 20, 2005
    Date of Patent: July 14, 2009
    Assignee: Atmel Corporation
    Inventors: Stefan Schwantes, Volker Dudek, Michael Graf, Alan Renninger, James Shen
  • Patent number: 7557012
    Abstract: A method for forming a surface strap includes forming a deep trench capacitor having a conductive connection layer on its surface in the substrate and the conductive connection layer in contact with the conductive layer; forming a poly-Si layer covering the pad layer and the conductive connection layer; performing a selective ion implantation with an angle to make part of the poly-Si layer an undoped poly-Si layer; removing the undoped poly-Si layer to expose part of the conductive connection layer; etching the exposed conductive connection layer to form a recess; removing the poly-Si layer to make the exposed conductive connection layer a conductive connection strap; filling the recess with an insulation material to form a shallow trench isolation; exposing the conductive layer; and selectively removing the conductive layer to form a first conductive strap which forms the surface strap together with the conductive connection strap.
    Type: Grant
    Filed: November 14, 2007
    Date of Patent: July 7, 2009
    Assignee: Nanya Technology Corp.
    Inventors: Chih-Hao Cheng, Tzung-Han Lee, Chung-Yuan Lee
  • Publication number: 20090134491
    Abstract: Some embodiments include methods of forming capacitors. A first section of a capacitor may be formed to include a first storage node, a first dielectric material, and a first plate material. A second section of the capacitor may be formed to include a second storage node, a second dielectric material, and a second plate material. The first and second sections may be formed over a memory array region, and the first and second plate materials may be electrically connected to first and second interconnects, respectively, that extend to over a region peripheral to the memory array region. The first and second interconnects may be electrically connected to one another to couple the first and second plate materials to one another. Some embodiments include capacitor structures, and some embodiments include methods of forming DRAM arrays.
    Type: Application
    Filed: November 26, 2007
    Publication date: May 28, 2009
    Inventor: Todd Jackson Plum
  • Patent number: 7531419
    Abstract: A capacitor has an MIM (Metal Insulator Metal) structure comprising a lower electrode formed in the interior of an electrode trench which is formed in an interlayer insulating film, a dielectric film formed over the lower electrode, and an upper electrode formed over the dielectric film. The upper electrode and the dielectric film are each formed with an area larger than the area of the lower electrode so that the whole of the lower electrode is positioned inside the upper electrode and the dielectric film. The reliability and production yield of the capacitor are improved.
    Type: Grant
    Filed: May 12, 2006
    Date of Patent: May 12, 2009
    Assignee: Renesas Technology Corp.
    Inventor: Katsuhiro Torii
  • Patent number: 7524724
    Abstract: A method of fabricating a storage capacitor includes depositing a first titanium nitride layer on a dielectric layer using a chemical vapor deposition technique or an atomic layer deposition technique performed at a first temperature with reactant gases of titanium chloride (TiCl4) gas and ammonia (NH3) gas at a predetermined flow ratio and depositing a second titanium nitride layer on the first titanium nitride layer using a chemical vapor deposition process performed at a second temperature that is greater than the first temperature with reactant gases of titanium chloride (TiCl4) gas and ammonia (NH3) gas.
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: April 28, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Rak-Hwan Kim, Hyun-Seok Lim, Young-Joo Cho, In-Sun Park, Hyeon-Deok Lee, Hyun-Suk Lee
  • Patent number: 7521359
    Abstract: A structure for improving the electrostatic discharge robustness of an integrated circuit having an electrostatic discharge (ESD) device and a receiver network connected to a pad by interconnects. The interconnect between the pad and the ESD device has a high-k material placed adjacent to at least one surface of the interconnect and extending over the thermal diffusion distance of the interconnect. The high-k material improves the critical current density of the interconnect by increasing the heat capacity and thermal conductivity of the interconnect. The high-k material can be placed on the sides, top and/or bottom of the interconnect. In multiple wire interconnects, the high-k material is placed between the wires of the interconnect. A low-k material is placed beyond the high-k material to reduce the capacitance of the interconnect. The combination of low-k and high-k materials provides an interconnect structure with improved ESD robustness and low capacitance that is well suited for an ESD device.
    Type: Grant
    Filed: March 25, 2008
    Date of Patent: April 21, 2009
    Assignee: International Business Machines Corporation
    Inventor: Steven H. Voldman
  • Publication number: 20090087958
    Abstract: A semiconductor device and a manufacturing method thereof which enable to secure high yield and increase the capacity of a capacitor are provided. The semiconductor device according to the present invention includes: a plurality of capacitor layers laminated, each capacitor layer including a plurality of storage electrodes, a capacity insulating film covering the surface of the storage electrodes, and a plate electrode provided between the storage electrodes, wherein the plate electrode of each of the laminated capacitor layers are electrically connected to each other and the corresponding storage electrode of each of the laminated capacitor layers are electrically connected to each other.
    Type: Application
    Filed: October 29, 2008
    Publication date: April 2, 2009
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Hiroyuki UCHIYAMA
  • Patent number: 7504299
    Abstract: A trench capacitor is filled with a set of two or more storage plates by consecutively depositing layers of dielectric and conductor and making contact to the ground plates by etching an aperture through the plates to the buried plate in the substrate and connecting the one or more ground plate to the substrate; the charge storage plates are connected at the top of the capacitor by blocking the end of the first plate during the formation of the second ground plate and exposing the material of the first storage plate during deposition of the second storage plate.
    Type: Grant
    Filed: January 30, 2004
    Date of Patent: March 17, 2009
    Assignee: International Business Machines Corporation
    Inventors: Thomas W. Dyer, Carl Radens
  • Publication number: 20090047769
    Abstract: A method of forming a plurality of capacitors includes an insulative material received over a capacitor array area and a circuitry area. The array area comprises a plurality of capacitor electrode openings within the insulative material received over individual capacitor storage node locations. The intervening area comprises a trench. Conductive material is formed within the openings and against a sidewall portion of the trench to less than completely fill the trench. Covering material is formed over an elevationally outer lateral interface of the conductive material within the trench and the insulative material of the circuitry area. The insulative material within the array area is etched with a liquid etching solution effective to expose outer sidewall portions of the conductive material within the array area and to expose the conductive material within the trench. The conductive material within the array area is incorporated into a plurality of capacitors.
    Type: Application
    Filed: August 13, 2007
    Publication date: February 19, 2009
    Inventors: Vishwanath Bhat, Kevin R. Shea, Farrell Good
  • Patent number: 7491619
    Abstract: Disclosed are methods of fabricating semiconductor devices. A method may include forming a first conductive layer, a first dielectric layer, a second conductive layer, a second dielectric layer, and a third conductive layer. The method may also include forming a mask layer on the third conductive layer, forming a photoresist pattern on the mask layer, and forming at least one middle electrode by patterning the mask layer, the third conductive layer, the second dielectric layer, and the second conductive layer using the photoresist pattern as an etching mask. The method may also include forming a mask pattern by selectively etching a side wall of the patterned mask layer, removing the photoresist pattern, and forming an upper electrode by patterning the third conductive layer using the mask pattern as an etching mask.
    Type: Grant
    Filed: November 8, 2006
    Date of Patent: February 17, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kang-Wook Park, Hyung-Moo Park
  • Patent number: 7488665
    Abstract: Structurally-stable, tall capacitors having unique three-dimensional architectures for semiconductor devices are disclosed. The capacitors include monolithically-fabricated upright microstructures, i.e., those having large height/width (H/W) ratios, which are mechanical reinforcement against shear forces and the like, by a brace layer that transversely extends between lateral sides of at least two of the free-standing microstructures. The brace layer is formed as a microbridge type structure spanning between the upper ends of the two or more microstructures.
    Type: Grant
    Filed: October 27, 2004
    Date of Patent: February 10, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Vishnu K. Agarwal, Gurtej Sandhu
  • Patent number: 7482240
    Abstract: Disclosed herein is a method for manufacturing a semiconductor device. According to the present invention, an USG (undoped silicate glass) layer is utilized during a process of forming a capacitor to leave a hard mask layer and a polysilicon layer on the top surface of a peripheral circuit region, and then a plate electrode layer on the peripheral circuit region is removed in a subsequent process to prevent a cut fuse pattern from being oxidized, thereby improving device characteristics and reliability of the semiconductor device.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: January 27, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Young Man Cho
  • Patent number: 7482239
    Abstract: In one implementation, an opening within a capacitor electrode forming layer is formed over a substrate. A spacing layer is deposited over the capacitor electrode forming layer to within the opening over at least upper portions of sidewalls of the opening. The spacing layer is formed to be laterally thicker at an elevationally outer portion within the opening as compared to an elevationally inner portion within the opening. A spacer is formed within the opening by anisotropically etching the spacing layer. The spacer is laterally thicker at an elevationally outer portion within the opening as compared to an elevationally inner portion within the opening. After forming a first capacitor electrode layer laterally over the spacer, at least a portion of the spacer is removed and a capacitor dielectric region and a second capacitor electrode layer are formed over the first capacitor electrode layer.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: January 27, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, Gurtej S. Sandhu
  • Patent number: 7468108
    Abstract: A capacitor electrode forming method includes chemisorbing a layer of at least one metal precursor at least one monolayer thick on a substrate, the layer including non-metal components from the precursor. The chemisorbed layer can be treated with an oxidant and the non-metal components removed to form a treated layer of metal. A capacitor electrode can be formed including the treated layer and, optionally, additional treated layers. Preferably, treating the layer does not substantially oxidize the metal and the treated layers exhibit the property of inhibiting oxygen diffusion. The chemisorbing and the treating can be performed at a temperature below about 450° C. or preferably below about 350° C.
    Type: Grant
    Filed: May 23, 2006
    Date of Patent: December 23, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Eugene P. Marsh
  • Patent number: 7459361
    Abstract: A semiconductor device fabrication method includes the steps of forming a conductive plug in an insulating layer on a semiconductor substrate so as to be connected to an element on the substrate; forming a titanium aluminum nitride (TiAlN) oxygen barrier film over the conductive plug; forming a titanium (Ti) film over the oxygen barrier film; applying a thermal process to the titanium film in nitrogen atmosphere to allow the titanium film to turn into a titanium nitride (TiN) film; and forming a lower electrode film of a capacitor over the titanium nitride film.
    Type: Grant
    Filed: February 22, 2006
    Date of Patent: December 2, 2008
    Assignee: Fujitsu Limited
    Inventor: Katsuyoshi Matsuura
  • Publication number: 20080286934
    Abstract: A method of forming a ring-type capacitor is provided. The method includes providing a substrate; forming a patterned mask layer on the substrate, the patterned mask layer defining a ring pattern; removing the substrate by using the patterned mask layer as a mask to form a ring-type trench in the substrate; the ring-type trench including an inner wall and an outer wall; and forming a capacitor structure on the inner wall and the outer wall of the ring-type trench.
    Type: Application
    Filed: December 10, 2007
    Publication date: November 20, 2008
    Applicant: NANYA TECHNOLOGY CORP.
    Inventors: Kuo-Yao CHO, Wen-Bin WU, Chiang-Lin SHIH, Jen-Jui HUANG
  • Patent number: 7445987
    Abstract: The present invention includes a method for forming a memory array and the memory array produced therefrom. Specifically, the memory array includes at least one first-type memory device, each of the at least one first-type memory device comprising a first transistor and a first underlying capacitor that are in electrical contact to each other through a first buried strap, where the first buried strap positioned on a first collar region; and at least one second-type memory cell, where each of the at least are second-type memory device comprises a second transistor and a second underlying capacitor that are in electrical contact through an offset buried strap, where the offset buried strap is positioned on a second collar region, wherein the second collar region has a length equal to the first collar region.
    Type: Grant
    Filed: June 1, 2007
    Date of Patent: November 4, 2008
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Ramachandra Divakaruni, Geng Wang
  • Publication number: 20080258197
    Abstract: A semiconductor-insulator-silicide (SIS) capacitor is formed by depositing a thin silicon containing layer on a salicide mask dielectric layer, followed by lithographic patterning of the stack and metallization of the thin silicon containing layer and other exposed semiconductor portions of a semiconductor substrate. The thin silicon containing layer is fully reacted during metallization and consequently converted to a silicide alloy layer, which is a first electrode of a capacitor. The salicide mask dielectric layer is the capacitor dielectric. The second electrode of the capacitor may be a doped polycrystalline silicon containing layer, a doped single crystalline semiconductor region, or another doped polycrystalline silicon containing layer disposed on the doped polycrystalline silicon containing layer. The SIS insulator may further comprise other dielectric layers and conductive layers to increase capacitance per area.
    Type: Application
    Filed: April 20, 2007
    Publication date: October 23, 2008
    Inventors: Douglas D. Coolbaugh, Zhong-Xiang He, Robert M. Rassel, Richard J. Rassel, Stephen A. St Onge
  • Patent number: 7439150
    Abstract: In one embodiment, to fabricate a semiconductor device, a first insulation interlayer is formed on a substrate. A contact pad is formed through the first insulation interlayer. An etch stop layer and a second insulation interlayer are sequentially formed on the first insulation interlayer and the pad. A contact hole exposing at least a portion of the contact pad is formed by partially etching the second insulation interlayer and the etch stop layer. A preliminary lower electrode is formed in the hole. The preliminary lower electrode is isotropically etched to form a lower electrode contacting the contact pad. A dielectric layer and an upper electrode are sequentially formed on the lower electrode.
    Type: Grant
    Filed: October 5, 2005
    Date of Patent: October 21, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Shin-Hye Kim, Ju-Bum Lee, Min Kim
  • Patent number: 7439151
    Abstract: A method for integrating the formation of metal-insulator-metal (MIM) capacitors within dual damascene processing includes forming a lower interlevel dielectric (ILD) layer having a lower capacitor electrode and one or more lower metal lines therein, the ILD layer having a first dielectric capping layer formed thereon. An upper ILD layer is formed over the lower ILD layer, and a via and upper line structure are defined within the upper ILD layer. The via and upper line structure are filled with a planarizing layer, followed by forming and patterning a resist layer over the planarizing layer. An upper capacitor electrode structure is defined in the upper ILD layer corresponding to a removed portion of the resist. The via, upper line structure and upper capacitor electrode structure are filled with conductive material, wherein a MIM capacitor is defined by the lower capacitor electrode, first dielectric capping layer and upper capacitor electrode structure.
    Type: Grant
    Filed: September 13, 2006
    Date of Patent: October 21, 2008
    Assignee: International Business Machines Corporation
    Inventors: Douglas D. Coolbaugh, Timothy J. Dalton, Ebenezer Eshun, Vincent J. McGahay, Anthony K. Stamper, Kunal Vaed
  • Publication number: 20080246069
    Abstract: A trench capacitor is filled with a set of two or more storage plates by consecutively depositing layers of dielectric and conductor and making contact to the ground plates by etching an aperture through the plates to the buried plate in the substrate and connecting the one or more ground plate to the substrate; the charge storage plates are connected at the top of the capacitor by blocking the end of the first plate during the formation of the second ground plate and exposing the material of the first storage plate during deposition of the second storage plate.
    Type: Application
    Filed: January 30, 2004
    Publication date: October 9, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Thomas W. Dyer, Carl Radens
  • Publication number: 20080237675
    Abstract: A capacitor includes a substrate (110, 210), a first electrically insulating layer (120, 220) over the substrate, and a fin (130, 231) including a semiconducting material (135) over the first electrically insulating layer. A first electrically conducting layer (140, 810) is located over the first electrically insulating layer and adjacent to the fin. A second electrically insulating layer (150, 910) is located adjacent to the first electrically conducting layer, and a second electrically conducting layer (160, 1010) is located adjacent to the second electrically insulating layer. The first and second electrically conducting layers together with the second electrically insulating layer form a metal-insulator-metal stack that greatly increases the capacitance area of the capacitor. In one embodiment the capacitor is formed using what may be referred to as a removable metal gate (RMG) approach.
    Type: Application
    Filed: March 29, 2007
    Publication date: October 2, 2008
    Inventors: Brian S. Doyle, Roberts S. Chau, Suman Datta, Vivek De, Ali Keshavarzi, Dinesh Somasekhar
  • Publication number: 20080237796
    Abstract: Methods and apparatuses to increase a surface area of a memory cell capacitor are described. An opening in a second insulating layer deposited over a first insulating layer on a substrate is formed. The substrate has a fin. A first insulating layer is deposited over the substrate adjacent to the fin. The opening in the second insulating layer is formed over the fin. A first conducting layer is deposited over the second insulating layer and the fin. A third insulating layer is deposited on the first conducting layer. A second conducting layer is deposited on the third insulating layer. The second conducting layer fills the opening. The second conducting layer is to provide an interconnect to an upper metal layer. Portions of the second conducting layer, third insulating layer, and the first conducting layer are removed from a top surface of the second insulating layer.
    Type: Application
    Filed: March 30, 2007
    Publication date: October 2, 2008
    Inventors: Brian S. Doyle, Robert S. Chau, Vivek De, Suman Datta, Dinesh Somasekhar
  • Publication number: 20080233706
    Abstract: A dynamic random access memory (DRAM) is provided. The dynamic random access memory includes a deep trench capacitor disposed in a first trench of a substrate, a conductive layer disposed in a second trench of the substrate, a gate structure, and a conductive layer disposed on the surface of the substrate at two sides of the gate structure. The depth of the second trench is smaller than the depth of the first trench, and the second trench partially overlaps with the first trench. The conductive layer disposed in the second trench is electrically connected with the conductive layer of the deep trench capacitor. The gate structure is disposed on the substrate. The conductive layer at one side of the gate structure is electrically connected with the conductive layer disposed in the second trench.
    Type: Application
    Filed: April 30, 2008
    Publication date: September 25, 2008
    Applicant: ProMOS Technologies Inc.
    Inventors: Jih-Wen Chou, Yu-Chi Chen
  • Publication number: 20080211002
    Abstract: This semiconductor device includes: a first cylinder interlayer insulating film; a second cylinder interlayer insulating film; a cylinder hole including a first cylinder hole and a second cylinder hole communicating with the first cylinder hole; and a capacitor including a lower electrode and an upper electrode. The first cylinder interlayer insulating film has an etching rate for etchant, which is two to six times as high as an etching rate for the second cylinder interlayer insulating film, a hole diameter of the first cylinder hole is larger than that of the second cylinder hole, and the hole diameter of the second cylinder hole near an interface between the first cylinder interlayer insulating film and the second cylinder interlayer insulating film increases as the second cylinder hole approaches the interface.
    Type: Application
    Filed: December 21, 2007
    Publication date: September 4, 2008
    Applicant: ELPIDA MEMORY, INC.
    Inventors: Yoshitaka NAKAMURA, Takashi ARAO, Jiro MIYAHARA, Shigeo ISHIKAWA, Koji URABE
  • Patent number: 7416953
    Abstract: A method of fabricating a vertical MIM capacitor. An insulation layer is formed on the substrate. The insulation layer is patterned to form an opening in a predetermined area of a core electrode. Then, the opening is filled to form a sacrificial plug. Subsequently, the insulation layer is patterned to form a trench in a predetermined area of an outer electrode around the sacrificial plug. A fenced insulation layer is formed around the sacrificial plug simultaneously. After the sacrificial plug is removed, a metal layer is filled in the predetermined area of the core and outer electrodes. A vertical MIM capacitor comprising the core electrode, the fenced insulation layer, and the outer electrode is finally formed. The invention also provides a vertical MIM capacitor.
    Type: Grant
    Filed: October 31, 2005
    Date of Patent: August 26, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Charles Lee, Chi-Hsi Wu
  • Patent number: 7416952
    Abstract: A dielectric interlayer, especially for a storage capacitor, is formed from a layer sequence subjected to a temperature process, wherein the layer sequence has at least a first metal oxide layer and a second metal oxide layer formed by completely oxidizing a metal nitride layer to higher valency.
    Type: Grant
    Filed: May 23, 2006
    Date of Patent: August 26, 2008
    Assignee: Infineon Technologies AG
    Inventors: Bernd Hintze, Henry Bernhardt, Frank Bernhardt
  • Patent number: 7410864
    Abstract: A method for fabricating a trench includes providing a semiconductor substrate made of a semiconductor material. A trench is etched into a surface of the semiconductor substrate such that a trench wall is produced. At least one layer is provided on the trench wall. This step is performed in such a way that the topmost layer provided on the trench wall is constructed from a sealing material. A selective epitaxy method is carried out in such a way that a monocrystalline semiconductor layer is formed on the surface of the semiconductor substrate and preferably no semiconductor material grows directly on the sealing material. A partial trench is etched in a surface of the epitaxially grown semiconductor layer. This step is performed in such a way that at least part of the layer made of the sealing material is uncovered. An uncovered part of the layer made of the sealing material is then removed.
    Type: Grant
    Filed: April 15, 2005
    Date of Patent: August 12, 2008
    Assignee: Infineon Technologies AG
    Inventor: Dietmar Temmler
  • Patent number: 7410863
    Abstract: A method of filling vias for a PCRAM cell with a metal is described. A PCRAM intermediate structure including a substrate, a first conductor, and an insulator through which a via extends has a metallic material formed within the via and on a surface of the insulator. The metallic material may be deposited on the surface and within the via. A hard mask of a flowable oxide is deposited over the metallic material in the via to protect the metallic material in the via. A subsequent dry sputter etch removes the metallic material from the surface of the insulator and a portion of the hard mask. After complete removal of the hard mask, a glass material is recessed over the metallic material in the via. Then, a layer of a metal-containing material is formed over the glass material. Finally, a second conductor is formed on the surface of the insulator.
    Type: Grant
    Filed: September 7, 2006
    Date of Patent: August 12, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Jiutao Li
  • Patent number: 7404829
    Abstract: This disclosure provides methods for assembling multiple anode stacked capacitor configurations with a temporary adhesive to aide in the alignment of separator materials and electrodes without sacrificing energy density, and electrolytic capacitors comprising such configurations. The temporary adhesive for use in the electrode assemblies will preferably comprise a polymer that is substantially soluble in a solvent-based electrolyte for use in an electrolytic capacitor.
    Type: Grant
    Filed: June 12, 2006
    Date of Patent: July 29, 2008
    Assignee: Pacesetter, Inc.
    Inventors: Christopher R. Feger, Timothy R. Marshall
  • Publication number: 20080160687
    Abstract: A method for forming a capacitor structure for a dynamic random access memory device. The method includes forming a device layer overlying a semiconductor substrate, e.g., silicon wafer. The method includes forming a first interlayer dielectric overlying the device layer and forming a via structure within the first interlayer dielectric layer. The method includes forming a first oxide layer overlying the first interlayer dielectric layer and forming a stop layer overlying the first oxide layer. The method includes forming a second oxide layer overlying the first stop layer and forming a trench region through a portion of the second oxide layer, through a portion of the stop layer, and a portion of the second oxide layer. A bottom electrode structure is formed to line the trench region. The bottom electrode structure includes an inner region. The bottom electrode structure is coupled to the via structure.
    Type: Application
    Filed: September 11, 2007
    Publication date: July 3, 2008
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Jeong Gi Kim
  • Patent number: 7393741
    Abstract: The invention comprises methods of forming pluralities of capacitors. In one implementation, metal is formed over individual capacitor storage node locations on a substrate. A patterned masking layer is formed over the metal. The patterned masking layer comprises openings therethrough to an outer surface of the metal. Individual of the openings are received over individual of the capacitor storage node locations. A pit is formed in the metal outer surface within individual of the openings. After forming the pits, the metal is anodically oxidized through the openings effective to form a single metal oxide-lined channel in individual of the openings over the individual capacitor storage nodes. Individual capacitor electrodes are formed within the channels in electrical connection with the individual capacitor storage node locations. At least some of the metal oxide is removed from the substrate, and the individual capacitor electrodes are incorporated into a plurality of capacitors.
    Type: Grant
    Filed: February 9, 2007
    Date of Patent: July 1, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, H. Montgomery Manning, Stephen J. Kramer
  • Publication number: 20080128856
    Abstract: A semiconductor device having a metal-insulator-metal (MIM) capacitor is provided and can include a lower line formed in a semiconductor substrate; a first interlayer insulating layer formed over the semiconductor substrate, the first interlayer insulating layer having a first conductor and a second conductor electrically connected to the lower line; a second interlayer insulating layer formed over the first interlayer insulating layer, the second interlayer insulating layer including a first via hole and a second via hole connected to the first conductor and the second conductor, respectively; a lower electrode line formed in the first via hole, the lower electrode including a first barrier metal layer, a second barrier metal layer, a second copper seed layer, and a copper layer; and a capacitor formed in the second via hole, the capacitor including the first barrier metal layer, a dielectric layer, the second barrier metal layer and the second copper seed layer.
    Type: Application
    Filed: November 23, 2007
    Publication date: June 5, 2008
    Inventor: Sung-Ho Kwak
  • Patent number: 7382012
    Abstract: A memory device having improved sensing speed and reliability and a method of forming the same are provided. The memory device includes a first dielectric layer having a low k value over a semiconductor substrate, a second dielectric layer having a second k value over the first dielectric layer, and a capacitor formed in the second dielectric layer wherein the capacitor comprises a cup region at least partially filled by the third dielectric layer. The memory device further includes a third dielectric layer over the second dielectric layer and a bitline over the third dielectric layer. The bitline is electrically coupled to the capacitor. A void having great dimensions is preferably formed in the cup region of the capacitor.
    Type: Grant
    Filed: February 24, 2006
    Date of Patent: June 3, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuo-Chi Tu, Chun-Yao Chen, Yi-Ching Lin