Having Stacked Capacitor Structure (e.g., Stacked Trench, Buried Stacked Capacitor, Etc.) Patents (Class 438/387)
  • Patent number: 6872620
    Abstract: A Deep Trench (DT) capacitor in a semiconductor substrate has an isolation collar formed on trench sidewalls above the DT bottom. An outer plate is formed below the collar. Capacitor dielectric is formed on DT walls below the collar. An node electrode is formed in the DT, recessed below the DT top. The collar is recessed in the DT. A combined poly/counter-recrystallizing species cap is formed over the node electrode with a peripheral strap. The cap may be formed after formed a peripheral divot of a recessed collar, followed by forming an intrinsic poly strap in the divot and doping with a counter-recrystallization species, e.g. Ge, into the node electrode and the strap. Alternatively, the node electrode is recessed followed by codeposition of poly and Ge or another counter-recrystallization species to form the cap and strap.
    Type: Grant
    Filed: May 20, 2003
    Date of Patent: March 29, 2005
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Rajarao Jammy, Jack A. Mandelman
  • Patent number: 6861330
    Abstract: Systems, devices, structures, and methods are described that inhibit dielectric degradation in the presence of contaminants. An enhanced capacitor in a dynamic random access memory cell is discussed. The enhanced capacitor includes a first electrode, a dielectric coupled to the first electrode, a second electrode coupled to the dielectric, and at least one inhibiting layer that couples to the first electrode, the dielectric, and the second electrode. The inhibiting layer defines a chamber that encloses the capacitor and renders the capacitor impervious to disturbance in its physical or chemical forces in the presence of contaminants. The inhibiting layer includes a nitride compound, an oxynitride compound, and an oxide compound. In one embodiment, the nitride compound includes SixNy. In another embodiment, the oxynitride compound includes SiOxNy. In another embodiment, the oxide compound includes Al2O3 and (SrRu)O3. The variables x and y are indicative of a desired number of atoms.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: March 1, 2005
    Assignee: Micron Technology Inc.
    Inventors: Cem Basceri, Gurtej Singh Sandhu
  • Patent number: 6861329
    Abstract: Disclosed is a method of manufacturing a MIM (metal-insulator-metal) capacitor using copper as a lower electrode. The MIM capacitor is manufactured by the following processes. A lower copper electrode is formed on a substrate. A photoresist pattern having a capacitor hole through which the lower copper electrode is exposed, is then formed. Next, the surface of the photoresist pattern is hardened to form a photoresist hardening layer. Thereafter, a capacitor dielectric film and an upper electrode material layer are formed on the photoresist hardening layer including the capacitor hole. The upper electrode material layer and the capacitor dielectric film are then polished by means of chemical mechanical polishing process to form an upper electrode within the capacitor hole. Finally, the photoresist pattern including the photoresist hardening layer is removed. As such, the MIM capacitor is manufactured without using the mask process and the etch process.
    Type: Grant
    Filed: July 3, 2003
    Date of Patent: March 1, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Sung Choi
  • Patent number: 6849467
    Abstract: A method of forming an H2 passivation layer in an FeRAM includes preparing a silicon substrate; depositing a layer of TiOx thin film, where 0<x<2, on a damascene structure; plasma space etching of the Ti or TiOx thin film to form a TiOx sidewall; annealing the TiOx side wall thin film form a TiO2 thin film; depositing a layer of ferroelectric material; and metallizing the structure to form a FeRAM.
    Type: Grant
    Filed: July 16, 2003
    Date of Patent: February 1, 2005
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Tingkai Li, Wei Pan, Robert A. Barrowcliff, David R. Evans, Sheng Teng Hsu
  • Patent number: 6841443
    Abstract: A method for fabricating a deep trench capacitor for dynamic memory cells in which a trench is etched into the depth of a semiconductor substrate, and wherein the interior of the trench is provided with a doping and a dielectric and is filled with a conductive material as an inner electrode. The inner electrode and the dielectric are etched back within a collar region, and a collar is formed using a collar process comprising a collar oxide deposition and etching back of the collar oxide on the substrate surface and in the trench as far as the inner electrode, after which the inner electrode is completed by further steps of depositing and etching back conductive layers. Prior to the doping a masking layer is applied to the collar region of the trench, and this masking layer is removed again before the collar process. Before the dielectric is applied the surface of the lower regions of the trench outside the collar region a layer of grains of conductive material is applied.
    Type: Grant
    Filed: June 19, 2003
    Date of Patent: January 11, 2005
    Assignee: Infineon Technologies AG
    Inventors: Dietmar Temmler, Anke Krasemann
  • Patent number: 6838333
    Abstract: A semiconductor memory device has access transistors with a gate and a pair of impurity diffusion layers formed on a semiconductor substrate and memory capacitors with a storage node electrode and a cell plate electrode. The electrodes are connected to each other via a capacitive insulating layer made of a ferroelectric material. The storage node electrode has a surface covered with the capacitive insulating layer and is formed in a shape of column on one of the pair of impurity diffusion layers in a hole formed from an inter-layer insulating film covering the access transistor to the one of the pair of impurity diffusion layers. A upper surface of the column does not exceed the inter-layer insulating film. The storage node electrode formed in the hole face the cell plate electrode via the inter-layer insulating film.
    Type: Grant
    Filed: April 4, 2002
    Date of Patent: January 4, 2005
    Assignee: United Microelectronics Corporation
    Inventors: Hideki Takeuchi, Hirohiko Izumi
  • Patent number: 6838352
    Abstract: A method for fabricating a capacitor on a semiconductor substrate is disclosed. The method may include simultaneously forming at least one via and at least one upper capacitor plate opening in a first dielectric layer having an underlying cap dielectric layer deposited over a first material region having a first conductive material within a conductive region and forming a trench above the via. The method may also include filling the via, trench, and upper capacitor plate opening with a second conductive material resulting in an integrated circuit structure and employing CMP to remove any excess second conductive material from the integrated circuit structure.
    Type: Grant
    Filed: July 5, 2002
    Date of Patent: January 4, 2005
    Assignee: Newport Fab, LLC.
    Inventor: Bin Zhao
  • Patent number: 6838331
    Abstract: A scrubbing controller used with a DRAM stores data in an error correcting code format. The system then uses a memory control state machine and associated timer to periodically cause the DRAM to read the error correcting codes. An ECC generator/checker in the scrubbing controller then detects any errors in the read error correcting codes, and generates corrected error correcting codes that are written to the DRAM. This scrubbing procedure, by reading error correcting codes from the DRAM, inherently refreshes memory cells in the DRAM. The error correcting codes are read at rate that may allow data errors to be generated, but these errors are corrected in the memory scrubbing procedure. However, the low rate at which the error correcting codes are read results in a substantial power saving compared to refreshing the memory cells at a higher rate needed to ensure that no data errors are generated.
    Type: Grant
    Filed: April 9, 2002
    Date of Patent: January 4, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Dean A. Klein
  • Patent number: 6838340
    Abstract: A method of manufacturing a semiconductor device includes forming a first interlayer insulation film on a semiconductor substrate, depositing a first metal film on the first interlayer insulation film, depositing an antireflection film including a dielectric layer on an upper surface of the first metal film, patterning the first metal film and the antireflection film to form a lower electrode having the antireflection film on an upper surface thereof, forming a second interlayer insulation film on the antireflection film, forming first and second openings in a first region and in a second region in the second interlayer insulation film, respectively, removing a portion of the antireflection film where the second opening is formed, depositing a second metal film on the second interlayer insulation film, and removing the second metal film except in the first and second openings to form an upper electrode in the first opening, and a contact in the second opening.
    Type: Grant
    Filed: June 30, 2003
    Date of Patent: January 4, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Tomohiro Tanaka, Naofumi Murata, Tohru Koyama
  • Patent number: 6838338
    Abstract: Disclosed is a capacitor construction for a more uniformly thick capacitor dielectric layer, and a method for fabricating the same. The method has special utility where the bottom electrode comprises composite layers over which the capacitor dielectric demonstrates differential growth during deposition. Exposed portions of an underlying first electrode layer, are covered either by a conductive or dielectric spacer, or by a dielectric padding. For the preferred embodiments, in which the bottom electrode comprises titanium carbonitride over rough polysilicon, a dielectric padding may be formed during a rapid thermal nitridation step, which causes silicon nitride to grow out of an exposed polysilicon sidewall. Alternatively, a sidewall spacer may be formed by deposition an additional layer of titanium nitride over the original titanim nitride strap, and performing a spacer etch.
    Type: Grant
    Filed: February 27, 2003
    Date of Patent: January 4, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, J. Brett Rolfson
  • Publication number: 20040259032
    Abstract: A structure on a layer surface of the semiconductor wafer has at least one first area region (8, 9), which is reflective for electromagnetic radiation, and at least one second, essentially nonreflecting area region (10, 11, 12). A light-transmissive insulation layer (13) and a light-sensitive layer are produced on said layer surface. The electromagnetic radiation is directed onto the light-sensitive layer with an angle &THgr; of incidence and the structure of the layer surface is imaged with a lateral offset into the light-sensitive layer.
    Type: Application
    Filed: August 13, 2004
    Publication date: December 23, 2004
    Inventors: Matthias Goldbach, Thomas Hecht, Jorn Lutzen, Bernhard Sell
  • Patent number: 6830968
    Abstract: An improved TOL process with a partial lithography-assisted sacrifcial oxide strip to prevent arsenic out-diffusion from polysilicon studs during gate oxidation. The invention prevents arsenic out-diffusion during gate oxidation from polysilicon studs by completely covering polysilicon studs with an oxide layer during gate oxidation, therby mantaining nitrogen amounts in the thin gate oxide regions, and hence, maintaining gate oxide thickness and avoiding any increase in Vt's for thin gate devices.
    Type: Grant
    Filed: July 16, 2003
    Date of Patent: December 14, 2004
    Assignee: International Business Machines Corporation
    Inventors: Deok-kee Kim, Ramachandra Divakaruni
  • Patent number: 6828188
    Abstract: A semiconductor device manufacturing process for forming a semiconductor device having a high density region and a low density region of transistor elements, includes forming a gate oxide film and gate electrodes on a semiconductor substrate surface. Then, a first nitride film is uniformly formed on the gate electrodes, and only the low-density region of the semiconductor device is etched. Then, a second nitride film is uniformly formed, and then an interlayer insulating film is formed. The high-density region is self-aligned using the first nitride film as an etch stopper to form contact holes in the interlayer insulating film, and contact electrodes are formed In the contact holes. The assembly is then annealed by a forming gas to recover an interfacial layer.
    Type: Grant
    Filed: December 21, 2000
    Date of Patent: December 7, 2004
    Assignee: NEC Electronics Corporation
    Inventors: Toshiyuki Hirota, Natsuki Sato
  • Patent number: 6828191
    Abstract: A trench capacitor, in particular for use in a semiconductor memory cell, has a trench formed in a substrate; an insulation collar formed in an upper region of the trench; an optional buried plate in the substrate region serving as a first capacitor plate; a dielectric layer lining the lower region of the trench and the insulation collar as a capacitor dielectric; a conductive second filling material filled into the trench as a second capacitor plate; and a buried contact underneath the surface of the substrate. The substrate has, underneath its surface in the region of the buried contact, a doped region introduced by implantation, plasma doping and/or vapor phase deposition. A tunnel layer, in particular an oxide, nitride or oxinitride layer, is preferably formed at the interface of the buried contact. A method for producing a trench capacitor is also provided.
    Type: Grant
    Filed: July 28, 1999
    Date of Patent: December 7, 2004
    Assignee: Siemens Aktiengesellschaft
    Inventors: Kai Wurster, Martin Schrems, Jürgen Faul, Klaus-Dieter Morhard, Alexandra Lamprecht, Odile Dequiedt
  • Patent number: 6825129
    Abstract: There is provided a method for manufacturing capacitor in a semiconductor memory device. The method for manufacturing a memory device having a dielectric layer includes the steps of forming a seed layer as a first dielectric layer by using an ALD method and forming a second dielectric layer by using a CVD method.
    Type: Grant
    Filed: May 24, 2002
    Date of Patent: November 30, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventor: Kwon Hong
  • Patent number: 6825091
    Abstract: A semiconductor memory device and a method of manufacturing same, wherein landing pads are formed to contact source/drain regions of an access transistor in a memory cell array area and a first resistor device is formed in the peripheral circuit area, by depositing a first conductive layer on a semiconductor substrate having an access transistor formed thereon and patterning the first conductive layer. An interlayer insulation layer is deposited on the resultant structure, and a lower electrode and a dielectric layer having a high dielectric constant of a capacitor are formed to contact the source/drain region of the access transistor. By depositing a second conductive layer on the resultant structure having the dielectric layer and patterning the dielectric layer, a capacitor upper electrode is formed in the memory cell array area and a second resistor device is formed in the peripheral circuit area.
    Type: Grant
    Filed: February 21, 2003
    Date of Patent: November 30, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-Soon Bae, Hoon-Chi Lee
  • Patent number: 6825081
    Abstract: Methods of forming a uniform cell nitride dielectric layer over varying substrate materials such as an insulation material and a conductive or semiconductive material, methods of forming capacitors having a uniform nitride dielectric layer deposited onto varying substrate materials such as an insulation layer and overlying conductive or semiconductive electrode, and capacitors formed from such methods are provided. In one embodiment of forming a uniform cell nitride layer in a capacitor construction, a surface-modifying agent is implanted into exposed surfaces of an insulation layer of a capacitor container by low angle implantation to alter the surface properties of the insulation layer for enhanced nucleation of the depositing cell nitride material, preferably while rotating the substrate for adequate implantation of the modifying substance along the top corner portion of the container.
    Type: Grant
    Filed: July 24, 2001
    Date of Patent: November 30, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Lingyi A. Zheng
  • Patent number: 6825093
    Abstract: In a process for manufacturing deep trench (32) memory cells, a method of enhancing the process window by better protecting the nitride spacer (52) prior to the process of stripping the pad nitride layer (38). The method also provides for the deposition of a nitride liner (64) and offers an additional advantage of not requiring the top shoulder (58) of the nitride spacer (52) to be over etched during its formation.
    Type: Grant
    Filed: September 13, 2002
    Date of Patent: November 30, 2004
    Assignee: Infineon Technologies AG
    Inventor: Arnd R. Scholz
  • Patent number: 6821861
    Abstract: The invention relates to an electrode arrangement for charge storage with an external trench electrode (202; 406), embodied along the wall of a trench provided in a substrate (401) and electrically insulated on both sides in the trench by a first and a second dielectric (104; 405, 409); an internal trench electrode (201; 410), serving as counter-electrode to the external trench electrode (201; 406) and insulated by the second dielectric (104; 409) and a substrate electrode (201; 403), which is insulated by the first dielectric (104; 405) outside the trench, which serves as counter-electrode to the external trench electrode (202; 406) and is connected to the internal trench electrode (201; 410) in the upper trench region.
    Type: Grant
    Filed: July 31, 2003
    Date of Patent: November 23, 2004
    Assignee: Infineon Technologies AG
    Inventors: Matthias Goldbach, Thomas Hecht
  • Patent number: 6821837
    Abstract: A trench capacitor includes an electrode having a first conductive area formed in a trench provided in a substrate, and a second conductive area extending from a bottom of the trench, the second conductive area being electrically coupled to the first conductive area and spaced apart from the first conductive area; a storage node having a first conductive extension extending into a first dielectric space provided between the first conductive area and the second conductive area of the electrode, and a second conductive extension extending into a second dielectric space provided within the second conductive area of the electrode; and a dielectric layer electrically insulating the electrode from the storage node.
    Type: Grant
    Filed: December 31, 2002
    Date of Patent: November 23, 2004
    Assignee: ProMOS Technologies Inc.
    Inventor: Yu-Ying Lian
  • Patent number: 6818501
    Abstract: Methods for fabricating low leakage trenches for Dynamic Random Access Memory (DRAM) cells and the devices formed thereby are disclosed. In one embodiment of the present invention, the method includes etching a container cell in an isolation film that is disposed within a trench. The container cell forms a vertical interface with the semiconductor substrate on one side through the isolation film. Formation of the container cell is self-aligning wherein previously-formed gate stacks act as etch stops for the container cell etch. In this way the container cell size is dependent for proper etch alignment only upon proper previous alignment and spacing of the gate stacks. The method of forming the container cell within an isolation film that is within a trench in the semiconductor substrate prevents cell-bit line shorting where the cell and the bit line are not horizontally adjacent to each other.
    Type: Grant
    Filed: July 9, 2001
    Date of Patent: November 16, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Michael A. Walker, Karl M. Robinson
  • Patent number: 6815307
    Abstract: This invention pertains to a method for making a trench capacitor of DRAM devices. A portion of the collar oxide layer is masked after the second polysilicon deposition and recess etching process. Subsequently, the un-masked collar oxide layer is etched away to form an asymmetric collar oxide structure. The third polysilicon deposition and recess etching process is then carried out to form a third polysilicon stud atop the second polysilicon layer. The asymmetric collar oxide structure has a lower annular portion wrapping the second polysilicon layer and insulating the second polysilicon layer from the substrate, and an upper portion serving as a single-sided spacer for blocking diffusion of dopants from the third polysilicon stud to the substrate.
    Type: Grant
    Filed: September 16, 2003
    Date of Patent: November 9, 2004
    Assignee: Nanya Technology Corp.
    Inventors: Ping Hsu, Tzu-Ching Tsai
  • Publication number: 20040219759
    Abstract: A semiconductor apparatus and method are provided. According to an embodiment, the apparatus includes a first contact extending from a first conductive element disposed in a substrate. A second contact extends from a second conductive element disposed in the substrate at least to a lower limit of a capacitor well. The capacitor well is formed in a pre-metal dielectric layer disposed on the substrate. The second contact is shorter than the first contact. The height of the capacitor structure may be substantially the same as the height of the pre-metal dielectric layer. A first metal layer is disposed on the pre-metal dielectric layer. Thus, the capacitor structure may extend from the lower limit of the capacitor well to the first metal layer. The first contact extends to the first metal layer.
    Type: Application
    Filed: May 27, 2004
    Publication date: November 4, 2004
    Inventors: Theodore W. Houston, Abha R. Singh
  • Patent number: 6812109
    Abstract: A method for fabricating buried decoupling capacitors in an integrated circuit is disclosed. The method forms decoupling capacitors by creating an opening within a substrate which has fin-like spacers, depositing a dielectric material over the spacers, depositing an electrode material over the dielectric material, depositing an insulative material over the electrode material, and forming integrated circuit components over the insulative material.
    Type: Grant
    Filed: February 26, 2003
    Date of Patent: November 2, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 6812110
    Abstract: The invention includes methods in which metal oxide dielectric materials are deposited over barrier layers. The barrier layers can comprise compositions of metal and one or more of carbon, boron and nitrogen, and the metal oxide of the dielectric material can comprise the same metal as the barrier layer. The dielectric material/barrier layer constructions can be incorporated into capacitors. The capacitors can be used in, for example, DRAM cells, which in turn can be used in electronic systems.
    Type: Grant
    Filed: May 9, 2003
    Date of Patent: November 2, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, F. Daniel Gealy, Gurtej S Sandhu
  • Patent number: 6808992
    Abstract: A method and system for providing a semiconductor device are described. The semiconductor device includes a substrate, a core and a periphery. The core includes a plurality of core gate stacks having a first plurality of edges, while the periphery a plurality of periphery gate stacks having a second plurality of edges. The method and system include providing a plurality of core spacers, a plurality of periphery spacers, a plurality of core sources and a plurality of conductive regions. The core spacers reside at the first plurality of edges and have a thickness. The periphery spacers reside at the second plurality of edges and have a second thickness greater than the first thickness. The core sources reside between the plurality of core gate stacks. The conductive regions are on the plurality of core sources. This method allows different thicknesses of the spacers to be formed in the core and the periphery so that the spacers can be tailored to the different requirements of the core and periphery.
    Type: Grant
    Filed: May 15, 2002
    Date of Patent: October 26, 2004
    Assignee: Spansion LLC
    Inventors: Kelwin Ko, Shenqing Fang, Angela T. Hui, Hiroyuki Kinoshita, Wenmei Li, Yu Sun, Hiroyuki Ogawa
  • Patent number: 6809001
    Abstract: In a semiconductor device comprising a cylindrical storage node, the surface area of the storage node is increased by forming silicone grains in an amorphous silicone film by a heat treatment only to an outer wall of the cylindrical portion to thereby form a roughened surface in the outer wall, and the amorphous silicone film is left in an inner wall without conducting a surface roughening treatment to the inner wall whereby the physical strength of the cylindrical portion is maintained and the destruction and the breakage of the cylindrical portion are prevented.
    Type: Grant
    Filed: April 13, 2001
    Date of Patent: October 26, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Masami Shirosaki, Junichi Tsuchimoto, Kiyoshi Mori
  • Patent number: 6800536
    Abstract: A semiconductor device includes a T-shaped gate on a gate insulation film, wherein the T-shaped gate includes a lower polycrystal layer containing Si and Ge and an upper polycrystal layer of polysilicon.
    Type: Grant
    Filed: May 21, 2003
    Date of Patent: October 5, 2004
    Assignee: Fujitsu Limited
    Inventor: Hajime Kurata
  • Patent number: 6800889
    Abstract: A semiconductor device includes a capacitor having a lower electrode (102), a high-dielectric-constant or ferroelectric thin film (103), and an upper electrode (104) which are subsequently stacked. An impurity having an action of suppressing the catalytic activity of a metal or a conductive oxide constituting the electrode is added to the upper electrode (104). The addition of the impurity is effective to prevent inconveniences such as a reduction in capacitance, an insulation failure, and the peeling of the electrode due to hydrogen heat-treatment performed after formation of the upper electrode (104), and to improve the long-term reliability.
    Type: Grant
    Filed: February 13, 2002
    Date of Patent: October 5, 2004
    Assignee: Hitachi, Ltd.
    Inventors: Shinichiro Takatani, Hiroshi Miki, Keiko Kushida, Yoshihisa Fujisaki, Kazuyoshi Torii
  • Publication number: 20040192007
    Abstract: A memory cell and method of forming the same is provided. To make contact between a bit line and a select transistor of a dynamic memory unit on a semiconductor wafer, a contact hole is filled with a metal or a metal alloy. A liner layer may be introduced between the semiconductor substrate and the metal filling. The semiconductor substrate has a doped region in the contact hole.
    Type: Application
    Filed: October 23, 2003
    Publication date: September 30, 2004
    Inventors: Ralf Staub, Jurgen Amon, Norbert Urbansky
  • Patent number: 6790724
    Abstract: The invention forms a 1T Static Random Access Memory (SRAM) with a low concentration cell node region and a higher concentration bit line region (e.g., second bit line region). The method of the invention forms a 1T Static Random Access Memory (SRAM) that uses a resist mask to block a high concentration implant into the cell node region, but allows the high concentration implant into the bit line region to form a second (high concentration) bit line. The invention's 1T SRAM, with the low concentration cell node, has reduced p-n junction leakage at the cell node and increase date retention time.
    Type: Grant
    Filed: February 20, 2001
    Date of Patent: September 14, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Pin-Shyne Chin, Wen-Jye Yue, Hsien-Chin Peng
  • Publication number: 20040175883
    Abstract: A method for fabricating a semiconductor device that forms a capacitor and metal interconnection in the same level, simultaneously using a damascene process for forming a metal interconnection. A capacitor structure having the high capacitance needed for logic elements is obtained without increasing the number of layers for fabricating the capacitor by forming a three-dimensional capacitor in the damascene pattern while maintaining the conventional processes in a damascene interconnection process.
    Type: Application
    Filed: March 15, 2004
    Publication date: September 9, 2004
    Applicant: Hynix Semiconductor Inc.
    Inventor: Si-Bum Kim
  • Publication number: 20040175898
    Abstract: In a semiconductor device including a first conductive layer, the first conductive layer is treated with a nitrogen/hydrogen plasma before an additional layer is deposited thereover. The treatment stuffs the surface with nitrogen, thereby preventing oxygen from being adsorbed onto the surface of the first conductive layer. In one embodiment, a second conductive layer is deposited onto the first conductive layer, and the plasma treatment lessens if not eliminates an oxide formed between the two layers as a result of subsequent thermal treatments. In another embodiment, a dielectric layer is deposited onto the first conductive layer, and the plasma treatment lessens if not eliminates the ability of the first conductive layer to incorporate oxygen from the dielectric.
    Type: Application
    Filed: March 19, 2004
    Publication date: September 9, 2004
    Inventor: Vishnu K. Agarwal
  • Patent number: 6787411
    Abstract: Disclosed are a gain cell structure capable of making a memory cell compact in size and a method of manufacturing the same at low cost. A memory cell is constituted of a reading MIS transistor and a writing MIS transistor. The reading MIS transistor has a pair of n+ type semiconductor regions (source region and drain region) formed on a main surface of a semiconductor substrate and a first gate electrode formed on a path of the n+ type semiconductor regions 13 via a first gate insulating film. The writing MIS transistor is arranged on the reading MIS transistor and has a layered structure made by laminating a lower semiconductor layer (source region), an intermediate semiconductor layer (channel forming region), and an upper semiconductor layer (drain region) in this order. The writing MIS transistor has a vertical structure in which a second gate electrode is arranged on both sidewalls of the layered structure via a second gate insulating film.
    Type: Grant
    Filed: December 30, 2002
    Date of Patent: September 7, 2004
    Assignees: Renesas Technology Corp., Hitachi ULSI Systems Co., Ltd.
    Inventors: Teruaki Kisu, Kazuo Nakazato, Masahito Takahashi
  • Patent number: 6787428
    Abstract: An aluminum interconnect which extends adjacent to and is insulated from a stacked capacitor structure to facilitate electrical communication between an active device region of a semiconductor substrate of a semiconductor device structure and a bit line extending above the semiconductor substrate. The aluminum interconnect is disposed within a trench and may include a metal silicide layer adjacent the active device region to form a buried metal diffusion layer. The aluminum interconnect may also include a metal nitride layer disposed between the metal silicide and aluminum. The invention also includes methods of fabricating aluminum interconnects adjacent stacked capacitor structures and semiconductor device structures which include the aluminum interconnects.
    Type: Grant
    Filed: June 26, 2002
    Date of Patent: September 7, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Ruojia Lee, Ralph Kauffman, J. Dennis Keller
  • Publication number: 20040171211
    Abstract: A method for use in manufacturing a semiconductor device includes forming a photoresist pattern on a substrate, performing first etching process in which an initial trench is formed using the photoresist pattern as a mask, and performing second distinct etching process to enlarge the initial trench. Thus, the initial trench can be formed using the photoresist pattern having a stable structure. Thereafter, the trench is enlarged using an etching solution having a composition based on the material in which the initial trench is formed, e.g., a silicon substrate or an insulation film. Therefore, a metal wiring, an isolation film or a contact can be formed in the enlarged trench to desired dimensions.
    Type: Application
    Filed: September 30, 2003
    Publication date: September 2, 2004
    Inventors: Sung-Bae Lee, Sang-Rok Hah, Hong-Seong Son
  • Publication number: 20040166647
    Abstract: A capacitor structure is formed over a semiconductor substrate by atomic layer deposition to achieve uniform thickness in memory cell dielectric layers, particularly where the dielectric layer is formed in a container-type capacitor structure. In accordance with several embodiments of the present invention, a process for forming a capacitor structure over a semiconductor substrate is provided. Other embodiments of the present invention relate to processes for forming memory cell capacitor structures, memory cells, and memory cell arrays. Capacitor structures, memory cells, and memory cell arrays are also provided.
    Type: Application
    Filed: March 1, 2004
    Publication date: August 26, 2004
    Inventors: Lingyi A. Zheng, Er-Xuan Ping, Lyle Breiner, Trung T. Doan
  • Patent number: 6774005
    Abstract: At least a partial layer of an upper capacitor electrode is formed by metal carbide, preferably by a transition metal carbide. In one embodiment, the metal carbide layer is formed by depositing an alternating sequence of metal-containing layers and carbon-containing layers on top of one another and then subjecting them to a heat treatment, in such a manner that they mix with one another. The patterning of the layer sequence can be carried out before the carbide formation step.
    Type: Grant
    Filed: July 26, 2002
    Date of Patent: August 10, 2004
    Assignee: Infineon Technologies AG
    Inventors: Martin Gutsche, Peter Moll, Bernhard Sell, Annette Sänger, Harald Seidl
  • Patent number: 6767788
    Abstract: A semiconductor has a MIM capacitor formed on the same level with a dual damascene Cu line. The semiconductor includes a first insulating interlayer with first contact holes, first metal lines formed in the first contact holes, and second and third insulating interlayers including the first metal lines. Second and third contact holes are formed in the second insulating interlayer to expose some region of the first metal lines, a trench is formed in the third insulating interlayer corresponding to the second and third contact holes, and a capacitor structure is formed in the second contact hole and the trench above the second contact hole. Second metal lines fill the second and third contact holes and the trenches above the second and third contact holes.
    Type: Grant
    Filed: May 23, 2002
    Date of Patent: July 27, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventor: Si Bum Kim
  • Patent number: 6765255
    Abstract: A semiconductor device having a capacitor of an MIM structure and a method of forming the same are described. The semiconductor device includes a semiconductor substrate; a first bottom interconnection formed over the semiconductor substrate; an intermetal dielectric layer formed over the semiconductor substrate; a plurality of openings exposing the first bottom interconnection through the intermetal dielectric layer; a bottom electrode conformally formed on the inside wall of the openings, on the exposed surface of the first bottom interconnection and on the intermetal dielectric layer between the openings; a dielectric layer and an upper electrode sequentially stacked on the bottom electrode; and a first upper interconnection disposed on the upper electrode. According to the present invention, an effective surface area per a unit planar area of a capacitor with an MIM structure is enlarged to increase capacitance thereof.
    Type: Grant
    Filed: March 25, 2003
    Date of Patent: July 20, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: You-Seung Jin, Jong-Hyon Ahn
  • Patent number: 6762110
    Abstract: A method of manufacturing a semiconductor device having a capacitor is obtained that improves adhesiveness between an interlayer dielectric film and a capacitor lower electrode without providing a liner material. A bottom surface of a through hole (28) and a side surface of the lower portion thereof are defined by silicon nitride films (20) and (25). The silicon nitride film (20) is formed on a silicon oxide film (19). An upper end of a contact plug (24) protrudes from the bottom surface of the through hole (28). A tungsten film (27) is formed on a silicon oxide film (26), and a ruthenium film (30) is formed on the tungsten film (27). A portion of the silicon oxide film (26) that defines the side surface of the through hole (28) is nitrided, thereby forming a modified layer (29) in the side surface of the silicon oxide film (26). The ruthenium film (30) is directly formed on the side surface and the bottom surface of the through hole (28), so that no liner material is interposed.
    Type: Grant
    Filed: October 14, 2003
    Date of Patent: July 13, 2004
    Assignee: Renesas Technology Corp.
    Inventor: Yasuichi Masuda
  • Patent number: 6759304
    Abstract: The invention relates to a DRAM integration method that does away with the alignment margins inherent to the photoetching step of the upper electrode of the capacitance for inserting the bit line contact. The removal of the upper electrode is self-aligned on the lower electrode of the capacitance. This is accomplished by forming a difference in topography at the point where the opening of the upper electrode is to be made, and depositing a non-doped polysilicon layer on the upper electrode. An implantation of dopants is performed on this layer, and the part of the non-doped layer located in the lower part of the zone showing the difference in topography is selectively etched. The remainder of the polysilicon layer and the part of the upper electrode located in the lower layer are also etched.
    Type: Grant
    Filed: January 9, 2002
    Date of Patent: July 6, 2004
    Assignee: STMicroelectronics SA
    Inventors: Philippe Coronel, Marc Piazza, François Leverd
  • Patent number: 6756282
    Abstract: A protective insulating film is deposited over first and second field-effect transistors formed on a semiconductor substrate. A capacitor composed of a capacitor lower electrode, a capacitor insulating film composed of an insulating metal oxide film, and a capacitor upper electrode is formed on the protective insulating film. A first contact plug formed in the protective insulating film provides a direct connection between the capacitor lower electrode and an impurity diffusion layer of the first field-effect transistor. A second contact plug formed in the protective insulating film provides a direct connection between the capacitor upper electrode and an impurity diffusion layer of the second field-effect transistor.
    Type: Grant
    Filed: June 10, 2002
    Date of Patent: June 29, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Yoshihisa Nagano, Yasuhiro Uemoto
  • Patent number: 6753566
    Abstract: An impurity diffusion layer serving as the source or the drain of a transistor is formed in a semiconductor substrate, and a protection insulating film is formed so as to cover the transistor. A capacitor lower electrode, a capacitor dielectric film of an oxide dielectric film and a capacitor upper electrode are successively formed on the protection insulating film. A plug for electrically connecting the impurity diffusion layer of the transistor to the capacitor lower electrode is buried in the protection insulating film. An oxygen barrier layer is formed between the plug and the capacitor lower electrode. The oxygen barrier layer is made from a composite nitride that is a mixture or an alloy of a first nitride having a conducting property and a second nitride having an insulating property.
    Type: Grant
    Filed: May 20, 2003
    Date of Patent: June 22, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Toshie Kutsunai, Shinichiro Hayashi, Takumi Mikawa, Yuji Judai
  • Patent number: 6750098
    Abstract: In semiconductor memories having a surrounding gate configuration, webs, i.e. vertical rectangular pillars made of substrate material, are formed at the surface of a semiconductor substrate and are surrounded by the gate electrodes in a lower region. Conventionally, it is not possible for word lines to make contact with the gate electrodes in the lower region of the webs without at the same time electrically influencing substrate regions at a higher level in the webs or short-circuiting bit lines from their sidewalls, unless complicated methods requiring additional lithography steps are used. A method for the self-aligning, selective contact-connection of the peripheral gate electrodes is performed with the aid of an insulation layer having a smaller layer thickness than the peripheral gate electrodes.
    Type: Grant
    Filed: July 15, 2003
    Date of Patent: June 15, 2004
    Assignee: Infineon Technologies AG
    Inventors: Till Schlösser, Dirk Manger
  • Patent number: 6750499
    Abstract: A self-aligned trench-type DRAM structure comprising a self-aligned DRAM capacitor structure and a self-aligned DRAM transistor structure are disclosed by the present invention, in which the self-aligned DRAM capacitor structure comprises a deep-trench capacitor region and a shallow-trench-isolation region being defined by a spacer technique and the self-aligned DRAM transistor structure comprises a scalable gate-stack region and a common-drain region being defined by another spacer technique. The self-aligned trench-type DRAM structure is used to implement two contactless DRAM arrays. A first-type contactless DRAM array comprises a plurality of metal bit-lines integrated with planarized common-drain conductive islands and a plurality of highly conductive word-lines. A second-type contactless DRAM array comprises a plurality of metal word-lines integrated with planarized conductive-gate islands and a plurality of common-drain conductive bit-lines.
    Type: Grant
    Filed: August 6, 2002
    Date of Patent: June 15, 2004
    Assignee: Intelligent Sources Development Corp.
    Inventor: Ching-Yuan Wu
  • Patent number: 6750112
    Abstract: A method of forming a bitline and a bitline contact and a dynamic random access memory (DRAM) cell array includes the following steps. The bitline and the bitline contact are formed in a two-step process, in which, first, the bitline contact is formed in a first dielectric layer and, then, the bitline of a conductive material having a lower resistivity than the bitline contact material is defined in a second dielectric layer (5). According to a preferred embodiment, the second dielectric layer (5) is made of a low k dielectric. The retention anneal process, which is usually performed in the standard DRAM process, is preferably made before depositing the bitline material and, optionally, the low k dielectric. A dynamic random access memory cell array having at least one bitline and a bitline contact can be manufactured by this method.
    Type: Grant
    Filed: June 24, 2002
    Date of Patent: June 15, 2004
    Assignee: Infineon Technologies AG
    Inventor: Albrecht Kieslich
  • Patent number: 6746878
    Abstract: There are provides the steps of forming sequentially a first conductive film, a dielectric film, and a second conductive film on an insulating film, forming a first film on the second conductive film, forming a second film made of insulating material on the first film, forming hard masks by patterning the second film and the first film into a capacitor planar shape, etching the second conductive film and the dielectric film in a region not covered with the hard masks, etching the first conductive film in the region not covered with the hard masks up to a depth that does not expose the insulating film, removing the second film constituting the hard masks by etching, etching a remaining portion of the first conductive film in the region not covered with the hard masks to the end, and removing the first film.
    Type: Grant
    Filed: January 29, 2003
    Date of Patent: June 8, 2004
    Assignee: Fujitsu Limited
    Inventors: Genichi Komuro, Kenkichi Suezawa
  • Patent number: 6737316
    Abstract: A method of forming a deep trench DRAM cell on a semiconductor substrate has steps of: forming a deep trench capacitor in the semiconductor substrate; using silicon-on-insulator (SOI) technology to form a silicon layer on the deep trench capacitor; and forming a vertical transistor on the silicon layer over the deep trench capacitor, wherein the vertical transistor is electrically connected to the deep trench capacitor.
    Type: Grant
    Filed: October 30, 2001
    Date of Patent: May 18, 2004
    Assignee: ProMOS Technologies Inc.
    Inventor: Brian Lee
  • Patent number: 6734486
    Abstract: An object is to prevent protrusion of a plug from an interlayer insulating film to prevent formation of a step between circuit parts exceeding a step height allowed in a planarization process and also to prevent formation of particles due to a protruded plug. An interlayer insulating film (11) is etched back over the entire surface under an etching condition in which the etching selectivity of a polysilicon plug (13) with respect to the interlayer insulating film (11) is 10, for example, to recess the polysilicon plug (13) to a given depth in a bit line contact hole (12) to form a recessed polysilicon plug (27).
    Type: Grant
    Filed: December 29, 1998
    Date of Patent: May 11, 2004
    Assignee: Renesas Technology Corp.
    Inventor: Yoshinori Okumura