Plural Fluid Growth Steps With Intervening Diverse Operation Patents (Class 438/493)
  • Patent number: 8389445
    Abstract: The present invention provides methods and systems for discretized, combinatorial processing of regions of a substrate such as for the discovery, implementation, optimization, and qualification of new materials, processes, and process sequence integration schemes used in integrated circuit fabrication. A substrate having an array of differentially processed regions thereon is processed by delivering materials to or modifying regions of the substrate.
    Type: Grant
    Filed: November 3, 2011
    Date of Patent: March 5, 2013
    Assignee: Intermolecular, Inc.
    Inventors: Tony P. Chiang, Thomas R. Boussie, Alexander Gorer, David E. Lazovsky
  • Patent number: 8367587
    Abstract: The present invention provides methods and systems for discretized, combinatorial processing of regions of a substrate such as for the discovery, implementation, optimization, and qualification of new materials, processes, and process sequence integration schemes used in integrated circuit fabrication. A substrate having an array of differentially processed regions thereon is processed by delivering materials to or modifying regions of the substrate.
    Type: Grant
    Filed: November 3, 2011
    Date of Patent: February 5, 2013
    Assignee: Intermolecular, Inc.
    Inventors: Tony P. Chiang, Thomas R. Boussie, Alexander Gorer, David E. Lazovsky
  • Publication number: 20130001586
    Abstract: A method for forming a substrate includes forming a base layer comprising a Group III-V material on a substrate, cooling the base layer and inducing cracks in the base layer, and forming a bulk layer comprising a Group III-V material on the base layer after cooling.
    Type: Application
    Filed: June 27, 2012
    Publication date: January 3, 2013
    Applicant: SAINT-GOBAIN CERAMICS & PLASTICS, INC.
    Inventors: Bernard Beaumont, Jean-Pierre Faurie
  • Publication number: 20120309178
    Abstract: A method of manufacturing a free-standing substrate includes the steps of growing a first thin film on a heterogeneous substrate, forming an ion implantation layer in the first thin film by implanting ions into the first thin film, dividing the first thin film into an upper thin film and a lower thin film with respect to the ion implantation layer, and growing a second thin film on the upper thin film. The free-standing substrate is manufactured without warping or cracking. No additional processes, such as a laser separation process, for separating the free-standing substrate from the heterogeneous substrate are required.
    Type: Application
    Filed: June 1, 2012
    Publication date: December 6, 2012
    Inventors: JunSung CHOI, Hyun Jong Park, Cheolmin Park, Junyoung Bae, Seonghwan Shin, Dongwook Lee, Wonjo Lee, Youshin Han
  • Patent number: 8293652
    Abstract: To provide a substrate processing method and a semiconductor chip manufacturing method that enable low-cost formation of a mask for etching using plasma etching. During formation of a mask used in plasma dicing for separating a semiconductor wafer 1 into discrete semiconductor chips 1e by means of etching using plasma processing, there is adopted a method including printing a lyophobic liquid in an area on a rear surface 1b that is to be an objective of etching, thereby forming a lyophobic pattern made up of lyophobic films 3; supplying a low viscosity resin 4a and a high viscosity resin 4b, in this sequence, to the rear surface 1b on which the lyophobic pattern is formed, thereby forming a resin film 4 that is thicker than the lyophobic films 3 in an area where the lyophobic films 3 are not present; and curing the resin film 4, to thus form a mask 4* that covers an area except for the area to be etched.
    Type: Grant
    Filed: April 9, 2010
    Date of Patent: October 23, 2012
    Assignee: Panasonic Corporation
    Inventors: Kiyoshi Arita, Teruaki Nishinaka
  • Patent number: 8288186
    Abstract: A substrate including a host and a seed layer bonded to the host is provided, then a semiconductor structure including a light emitting layer disposed between an n-type region and a p-type region is grown on the seed layer. In some embodiments, a bonding layer bonds the host to the seed layer. The seed layer may be thinner than a critical thickness for relaxation of strain in the semiconductor structure, such that strain in the semiconductor structure is relieved by dislocations formed in the seed layer, or by gliding between the seed layer and the bonding layer an interface between the two layers. In some embodiments, the host may be separated from the semiconductor structure and seed layer by etching away the bonding layer.
    Type: Grant
    Filed: September 22, 2010
    Date of Patent: October 16, 2012
    Assignee: Philips Lumileds Lighting Company LLC
    Inventors: Michael R. Krames, Nathan F. Gardner, John E. Epler
  • Patent number: 8242003
    Abstract: Exemplary embodiments provide methods of forming semiconductor devices, by which defects formed upon nucleation and coalescence of semiconductor islands can be reduced or eliminated. In one embodiment, an annealing process can be performed prior to coalescence of the semiconductor islands into a continuous semiconductor layer. In another embodiment, high-quality Group III-V materials can be formed on the continuous semiconductor layer.
    Type: Grant
    Filed: April 14, 2011
    Date of Patent: August 14, 2012
    Assignee: STC.UNM
    Inventors: Sang M. Han, Darin Leonhardt
  • Patent number: 8198628
    Abstract: A semiconductor structure that is to be heated. The structure includes a substrate for the front face deposition of a useful layer intended to receive components for electronics, optics or optoelectronics. The structure contains doped elements that absorb infrared radiation so as to substantially increase infrared absorption by the structure so that the front face reaches a given temperature when a given infrared power is supplied to the structure. At least one part of the doped elements have insufficient electrical activity or localization in the structure, such that they cannot disturb the operation of the components. In addition, a method of producing this structure and a method of forming a useful layer of semiconductor material on the structure.
    Type: Grant
    Filed: March 25, 2008
    Date of Patent: June 12, 2012
    Assignee: Soitec
    Inventors: Robert Langer, Hacène Lahreche
  • Patent number: 8168517
    Abstract: There are provided a method for epitaxial growth capable of securing stable optical and electrical characteristics by minimizing defects produced in a second epitaxial layer when growing the second epitaxial layer on a first epitaxial layer having defects formed therein, and an epitaxial layer structure using the method. The method includes preparing a first epitaxial layer having a defect formed therein, forming a metal quantum dot on the first epitaxial layer, allowing the metal quantum dot to be moved onto a step of the first epitaxial layer due to a difference of surface energy, converting the metal quantum dot into a metal quantum-dot semiconductor crystal having a lattice constant corresponding to that of the first epitaxial layer, and growing a second epitaxial layer on the first epitaxial layer.
    Type: Grant
    Filed: February 19, 2009
    Date of Patent: May 1, 2012
    Assignee: Industry-University Cooperation Foundation Hanyang University
    Inventor: Jae-eung Oh
  • Patent number: 8164104
    Abstract: A light emitting element array including an active layer commonly used for light emitting element regions, carrier injection layers which are electrically isolated from each other and which are provided in the respective light emitting element regions, and a resistive layer which has a resistance higher than that of the carrier injection layers and which is provided between the active layer and the carrier injection layers.
    Type: Grant
    Filed: June 22, 2010
    Date of Patent: April 24, 2012
    Assignee: Canon Kabushiki Kaisha
    Inventor: Tetsuya Takeuchi
  • Patent number: 8143144
    Abstract: A method for fabricating a semiconductor nanowire that has first and second regions is provided. A catalyst particle is put on a substrate. A first source gas is introduced, thereby growing the first region from the catalyst particle via a vapor-liquid-solid phase growth. A protective coating is formed on a sidewall of the first region, and a second source gas is introduced to grow the second region extending from the first region via the liquid-solid-phase growth.
    Type: Grant
    Filed: June 4, 2008
    Date of Patent: March 27, 2012
    Assignee: Panasonic Corporation
    Inventors: Takahiro Kawashima, Tohru Saitoh
  • Publication number: 20120032234
    Abstract: Methods of manufacturing a III-V compound semiconductor material, and the semiconductor material thus manufactured, are disclosed. In one embodiment, the method comprises providing a substrate comprising a first semiconductor material having a {001} orientation and an insulating layer overlaying the first semiconductor material. The insulating layer comprises a recessed region exposing an exposed region of the first semiconductor material. The method further comprises forming a buffer layer overlaying the exposed region that comprises a group IV semiconductor material. The method further comprises thermally annealing the substrate and the buffer layer, thereby roughening the buffer layer to create a rounded, double-stepped surface having a step density and a step height. A product of the step density and the step height is greater than or equal to 0.05 on the surface.
    Type: Application
    Filed: August 5, 2011
    Publication date: February 9, 2012
    Applicants: Katholieke Universiteit Leuven, K.U. Leuven R&D, IMEC
    Inventors: Gang Wang, Matty Caymax, Maarten Leys, Wei-E Wang, Niamh Waldron
  • Publication number: 20120034767
    Abstract: Described herein is a method and liquid-based precursor composition for depositing a multicomponent film. In one embodiment, the method and compositions described herein are used to deposit Germanium Tellurium (GeTe), Antimony Tellurium (SbTe), Antimony Germanium (SbGe), Germanium Antimony Tellurium (GST), Indium Antimony Tellurium (IST), Silver Indium Antimony Tellurium (AIST), Cadmium Telluride (CdTe), Cadmium Selenide (CdSe), Zinc Telluride (ZnTe), Zinc Selenide (ZnSe), Copper indium gallium selenide (CIGS) films or other tellurium and selenium based metal compounds for phase change memory and photovoltaic devices.
    Type: Application
    Filed: February 8, 2011
    Publication date: February 9, 2012
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Manchao Xiao, Liu Yang, Xinjian Lei, Iain Buchanan
  • Publication number: 20120032312
    Abstract: A semiconductor substrate which allows desired electrical characteristics to be more easily acquired, a semiconductor device of the same, and a method of producing the semiconductor substrate. The method of producing this semiconductor substrate is provided with: a first epitaxial layer forming step (S1) of forming a first epitaxial layer; a trench forming step (S2) of forming trenches in the first epitaxial layer; and epitaxial layer forming steps (S3, S4, S5) of forming epitaxial layers on the first epitaxial layer and inside the trenches, using a plurality of growth conditions including differing growth rates, so as to fill the trenches, and keeping the concentration of dopant taken into the epitaxial layers constant in the plurality of growth conditions.
    Type: Application
    Filed: March 25, 2010
    Publication date: February 9, 2012
    Applicants: DENSO CORPORATION, SUMCO CORPORATION
    Inventors: Syouji Nogami, Hitoshi Goto, Takumi Shibata, Tsuyoshi Yamamoto
  • Patent number: 8110486
    Abstract: A semiconductor wafer is produced at a step of forming a lattice relaxation or a partly lattice-relaxed strain relaxation SiGe layer on an insulating layer in a SOI wafer comprising an insulating layer and a SOI layer, wherein at least an upper layer side portion of the SiGe layer is formed on the SOI layer at a gradient of Ge concentration gradually decreasing toward the surface and then subjected to a heat treatment in an oxidizing atmosphere.
    Type: Grant
    Filed: January 5, 2007
    Date of Patent: February 7, 2012
    Assignee: Sumco Corporation
    Inventors: Koji Matsumoto, Tomoyuki Hora, Akihiko Endo, Etsurou Morita, Masaharu Ninomiya
  • Patent number: 8093143
    Abstract: A method for producing a wafer with a silicon single crystal substrate having a front and a back side and a layer of SiGe deposited on the front side, the method using steps in the following order: simultaneously polishing the front and the back side of the silicon single crystal substrate; depositing a stress compensating layer on the back side of the silicon single crystal substrate; polishing the front side of the silicon single crystal substrate; cleaning the silicon single crystal substrate having the stress compensating layer deposited on the back side; and depositing a fully or partially relaxed layer of SiGe on the front side of the silicon single crystal substrate.
    Type: Grant
    Filed: March 16, 2010
    Date of Patent: January 10, 2012
    Assignee: Siltronic AG
    Inventors: Peter Storck, Thomas Buschhardt
  • Publication number: 20120003825
    Abstract: A method for forming strained epitaxial carbon-doped silicon (Si) films, for example as raised source and drain regions for electronic devices. The method includes providing a structure having an epitaxial Si surface and a patterned film, non-selectively depositing a carbon-doped Si film onto the structure, the carbon-doped Si film containing an epitaxial carbon-doped Si film deposited onto the epitaxial Si surface and a non-epitaxial carbon-doped Si film deposited onto the patterned film, and non-selectively depositing a Si film on the carbon-doped Si film, the Si film containing an epitaxial Si film deposited onto the epitaxial carbon-doped Si film and a non-epitaxial Si film deposited onto the non-epitaxial carbon-doped Si film. The method further includes dry etching away the non-epitaxial Si film, the non-epitaxial carbon-doped Si film, and less than the entire epitaxial Si film to form a strained epitaxial carbon-doped Si film on the epitaxial Si surface.
    Type: Application
    Filed: July 2, 2010
    Publication date: January 5, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Anthony Dip
  • Patent number: 8084400
    Abstract: The present invention provides methods and systems for discretized, combinatorial processing of regions of a substrate such as for the discovery, implementation, optimization, and qualification of new materials, processes, and process sequence integration schemes used in integrated circuit fabrication. A substrate having an array of differentially processed regions thereon is processed by delivering materials to or modifying regions of the substrate.
    Type: Grant
    Filed: February 10, 2006
    Date of Patent: December 27, 2011
    Assignee: Intermolecular, Inc.
    Inventors: Tony P. Chiang, David E. Lazovsky, Thomas R. Boussie, Alexander Gorer
  • Publication number: 20110306190
    Abstract: The present invention provides a method for producing an n-type Group III nitride semiconductor product having a high Si concentration and exhibiting favorable crystallinity. In the production method, specifically, an AlN buffer layer is formed on a sapphire substrate by MOCVD, and then a first layer (thickness: 2 ?m) is formed from undoped GaN on the buffer layer by MOCVD at 1,140° C. Subsequently, a second layer (thickness: 200 nm) is formed from SiO2 on the first layer by plasma CVD, and then the second layer is removed by use of BHF (buffered hydrofluoric acid). Next, a GaN layer (thickness: 50 nm) is grown, by MOCVD at 1,140° C., on the first layer exposed by removal of the second layer without supply of an n-type dopant gas. Thus, on the first layer is provided a third layer formed of n-type GaN doped with Si at a high concentration and exhibiting favorable crystallinity.
    Type: Application
    Filed: June 8, 2011
    Publication date: December 15, 2011
    Applicant: TOYODA GOSEI CO., LTD.
    Inventors: Masayoshi Kosaki, Hiroshi Miwa
  • Patent number: 8067340
    Abstract: The present invention provides methods and systems for discretized, combinatorial processing of regions of a substrate such as for the discovery, implementation, optimization, and qualification of new materials, processes, and process sequence integration schemes used in integrated circuit fabrication. A substrate having an array of differentially processed regions thereon is processed by delivering materials to or modifying regions of the substrate.
    Type: Grant
    Filed: February 11, 2008
    Date of Patent: November 29, 2011
    Assignee: Intermolecular, Inc.
    Inventors: Tony P. Chiang, David E. Lazovsky, Thomas R. Boussie, Alexander Gorer
  • Patent number: 8026447
    Abstract: Devices and methods for electrical interconnection for microelectronic circuits are disclosed. One method of electrical interconnection includes forming a bundle of microfilaments, wherein at least two of the microfilaments include electrically conductive portions extending along their lengths. The method can also include bonding the microfilaments to corresponding bond pads of a microelectronic circuit substrate to form electrical connections between the electrically conductive portions and the corresponding bond pads. A microelectronic circuit can include a bundle of microfilaments bonded to corresponding bond pads to make electrical connection between corresponding bonds pads and electrically-conductive portions of the microfilaments.
    Type: Grant
    Filed: November 9, 2009
    Date of Patent: September 27, 2011
    Assignee: Raytheon Sarcos, LLC
    Inventors: Stephen C. Jacobsen, David P. Marceau, Shayne M. Zurn, David T. Markus
  • Publication number: 20110223749
    Abstract: The present method of forming a nitride semiconductor epitaxial layer includes the steps of growing at least one layer of nitride semiconductor epitaxial layer on a nitride semiconductor substrate having a dislocation density lower than or equal to 1×107 cm?2 with a chemical decomposition layer interposed therebetween, the chemical decomposition layer being chemically decomposed at least with either a gas or an electrolytic solution, and decomposing the chemical decomposition layer at least with either the gas or the electrolytic solution at least either during or after the step of growing the nitride semiconductor epitaxial layer, thereby separating the nitride semiconductor epitaxial layer from the nitride semiconductor substrate. A high-quality nitride semiconductor epitaxial layer suffering less damage when separated from the nitride semiconductor substrate is thereby formed.
    Type: Application
    Filed: October 27, 2010
    Publication date: September 15, 2011
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Hiromu SHIOMI, Yu Saitoh, Kazuhide Sumiyoshi, Akihiro Hachigo, Makoto Kiyama, Seiji Nakahata
  • Publication number: 20110198693
    Abstract: Provided is a III nitride semiconductor electronic device having a structure capable of reducing leakage current. A laminate 11 includes a substrate 13 and a III nitride semiconductor epitaxial film 15. The substrate 13 is made of a III nitride semiconductor having a carrier concentration of more than 1×1018 cm?3. The epitaxial structure 15 includes a III nitride semiconductor epitaxial film 17. A first face 13a of the substrate 13 is inclined at an angle ? of more than 5 degrees with respect to an axis Cx extending in a direction of the c-axis. A normal vector VN and a c-axis vector VC make the angle ?. The III nitride semiconductor epitaxial film 17 includes first, second and third regions 17a, 17b and 17c arranged in order in a direction of a normal to the first face 13a. A dislocation density of the third region 17c is smaller than that of the first region 17a. A dislocation density of the second region 17b is smaller than that of the substrate 13.
    Type: Application
    Filed: October 20, 2009
    Publication date: August 18, 2011
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Hiromu Shiomi, Kazuhide Sumiyoshu, Yu Saitoh, Makoto Kiyama
  • Patent number: 7998847
    Abstract: Affords methods of manufacturing bulk III-nitride crystals whereby at least the surface dislocation density is low globally. The present III-nitride crystal manufacturing method includes: a step of preparing an undersubstrate (1) containing a III-nitride seed crystal, the III-nitride seed crystal having a matrix (1s), and inversion domains (1t) in which the polarity in the <0001> directions is inverted with respect to the matrix (1s); and a step of growing a III-nitride crystal (10) onto the matrix (1s) and inversion domains (1t) of the undersubstrate (1) by a liquid-phase technique; and is characterized in that a first region (10s), being where the growth rate of III-nitride crystal (10) growing onto the matrix (1s) is greater, covers second regions (10t), being where the growth rate of III-nitride crystal (10) growing onto the inversion domains (1t) is lesser.
    Type: Grant
    Filed: November 15, 2007
    Date of Patent: August 16, 2011
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Ryu Hirota, Koji Uematsu, Tomohiro Kawase
  • Patent number: 7972961
    Abstract: A method of processing semiconductor substrates includes: depositing a film on a substrate in a reaction chamber; evacuating the reaction chamber without purging the reaction chamber; opening a gate valve and replacing the substrate with a next substrate via the transfer chamber wherein the pressure of the transfer chamber is controlled to be higher than that of the reaction chamber before and while the gate valve is opened; repeating the above steps and removing the substrate from the reaction chamber; and purging and evacuating the reaction chamber, and cleaning the reaction chamber with a cleaning gas.
    Type: Grant
    Filed: October 9, 2008
    Date of Patent: July 5, 2011
    Assignee: ASM Japan K.K.
    Inventors: Toru Sugiyama, Ryu Nakano
  • Patent number: 7968437
    Abstract: Productivity and product yield, as well as the step coverage and the adhesion are improved. A film forming process includes an initial film forming step, and a main film forming step. In the initial film forming step, a step of supplying a material gas into a processing chamber to adsorb the material gas on the substrate, and a step of supplying a first reaction gas not containing oxygen atoms into the processing chamber to cause a reaction with the material gas adsorbed on the substrate in order to from a thin film on the substrate, are repeated multiple cycles to form the thin film with the specified thickness on the substrate.
    Type: Grant
    Filed: November 10, 2006
    Date of Patent: June 28, 2011
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Hideharu Itatani, Sadayoshi Horii
  • Patent number: 7915150
    Abstract: A method of manufacturing a nitride semiconductor substrate according to example embodiments may include forming a buffer layer on a (100) plane of a silicon (Si) substrate. The buffer layer may have a hexagonal crystal system and a (1010) plane. A nitride semiconductor layer may be epitaxially grown on the buffer layer. The nitride semiconductor layer may have a (1010) plane. Accordingly, because example embodiments enable the use of a relatively inexpensive Si substrate, a more economical nitride semiconductor substrate having a relatively large diameter may be achieved.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: March 29, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-soo Park, Dae-ho Yoon
  • Publication number: 20110070721
    Abstract: Apparatus and methods are described for fabricating a compound nitride semiconductor structure. Group-III and nitrogen precursors are flowed into a first processing chamber to deposit a first layer over a substrate with a thermal chemical-vapor-deposition process. The substrate is transferred from the first processing chamber to a second processing chamber. Group-III and nitrogen precursors are flowed into the second processing chamber to deposit a second layer over the first layer with a thermal chemical-vapor-deposition process. The first and second group-III precursors have different group-III elements.
    Type: Application
    Filed: November 24, 2010
    Publication date: March 24, 2011
    Applicant: Applied Materials, Inc.
    Inventors: Sandeep NIJHAWAN, David Bour, Lori Washington, Jacob Smith, Ronald Stevens, David Eaglesham
  • Patent number: 7902557
    Abstract: Disclosed is a semiconductor light emitting device comprising a seed layer, a first conductive semiconductor layer into which the seed layer is partially inserted, a first electrode electrically connected to the first conductive semiconductor layer, an active layer under the first conductive semiconductor layer, a second conductive semiconductor layer under the active layer, and a second electrode layer under the second conductive semiconductor layer.
    Type: Grant
    Filed: November 26, 2008
    Date of Patent: March 8, 2011
    Assignee: LG Innotek Co., Ltd.
    Inventor: Jo Young Lee
  • Patent number: 7897490
    Abstract: In a method for making a GaN article, an epitaxial nitride layer is deposited on a single-crystal substrate. A 3D nucleation GaN layer is grown on the epitaxial nitride layer by HVPE under a substantially 3D growth mode. A GaN transitional layer is grown on the 3D nucleation layer by HVPE under a condition that changes the growth mode from the substantially 3D growth mode to a substantially 2D growth mode. A bulk GaN layer is grown on the transitional layer by HVPE under the substantially 2D growth mode. A polycrystalline GaN layer is grown on the bulk GaN layer to form a GaN/substrate bi-layer. The GaN/substrate bi-layer may be cooled from the growth temperature to an ambient temperature, wherein GaN material cracks laterally and separates from the substrate, forming a free-standing article.
    Type: Grant
    Filed: November 30, 2006
    Date of Patent: March 1, 2011
    Assignee: Kyma Technologies, Inc.
    Inventors: Edward A. Preble, Lianghong Liu, Andrew D. Hanser, N. Mark Williams, Xueping Xu
  • Patent number: 7875535
    Abstract: A compound semiconductor device includes: a conductive SiC substrate; an AlN buffer layer formed on said conductive SiC substrate and containing Cl; a compound semiconductor buffer layer formed on said AlN layer which contains Cl, said compound semiconductor buffer layer not containing Cl; and a device constituent layer or layers formed above said compound semiconductor buffer layer not containing Cl.
    Type: Grant
    Filed: January 26, 2009
    Date of Patent: January 25, 2011
    Assignee: Fujitsu Limited
    Inventors: Toshihide Kikkawa, Kenji Imanishi
  • Publication number: 20100330786
    Abstract: Epitaxially coated semiconductor wafers are produced by minimally the following steps in the order specified: (a) depositing an epitaxial layer on one side of a semiconductor wafer; (b) first polishing the epitaxially coated side of the semiconductor wafer with a polishing pad with fixed abrasive while supplying a polishing solution which is free of solids; (c) CMP polishing of the epitaxially coated side of the semiconductor wafer with a soft polishing pad which contains no fixed abrasive, while supplying a polishing agent suspension; (d) depositing another epitaxial layer on the previously epitaxially coated and polished side of the semiconductor wafer.
    Type: Application
    Filed: June 10, 2010
    Publication date: December 30, 2010
    Applicant: SILTRONIC AG
    Inventors: Juergen Schwandner, Roland Koppert
  • Publication number: 20100291761
    Abstract: A method for producing a wafer with a silicon single crystal substrate having a front and a back side and a layer of SiGe deposited on the front side, the method using steps in the following order: simultaneously polishing the front and the back side of the silicon single crystal substrate; depositing a stress compensating layer on the back side of the silicon single crystal substrate; polishing the front side of the silicon single crystal substrate; cleaning the silicon single crystal substrate having the stress compensating layer deposited on the back side; and depositing a fully or partially relaxed layer of SiGe on the front side of the silicon single crystal substrate.
    Type: Application
    Filed: March 16, 2010
    Publication date: November 18, 2010
    Applicant: SILTRONIC AG
    Inventors: Peter Storck, Thomas Buschhardt
  • Publication number: 20100210094
    Abstract: A method for using an apparatus configured to form a germanium-containing film includes performing a first film formation process for forming a first product film containing germanium by CVD on a product target object placed inside a reaction container, a first cleaning process for etching the film formation by-product, a second cleaning process for removing residual germanium from inside the reaction container, and a second film formation process for forming a second product film containing no germanium by CVD on a product target object placed inside the reaction container, in this order. The second cleaning process is performed by exhausting gas from inside the reaction container with no product target object placed therein, supplying a second cleaning gas containing an oxidizing gas and hydrogen gas into the reaction container, and heating an interior of the reaction container thereby activating the second cleaning gas.
    Type: Application
    Filed: February 17, 2010
    Publication date: August 19, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yoshikazu FURUSAWA, Mitsuhiro Okada
  • Patent number: 7768021
    Abstract: A light emitting element array including an active layer commonly used for light emitting element regions, carrier injection layers which are electrically isolated from each other and which are provided in the respective light emitting element regions, and a resistive layer which has a resistance higher than that of the carrier injection layers and which is provided between the active layer and the carrier injection layers.
    Type: Grant
    Filed: December 12, 2006
    Date of Patent: August 3, 2010
    Assignee: Canon Kabushiki Kaisha
    Inventor: Tetsuya Takeuchi
  • Publication number: 20100187499
    Abstract: There are provided a method for epitaxial growth capable of securing stable optical and electrical characteristics by minimizing defects produced in a second epitaxial layer when growing the second epitaxial layer on a first epitaxial layer having defects formed therein, and an epitaxial layer structure using the method. The method includes preparing a first epitaxial layer having a defect formed therein, forming a metal quantum dot on the first epitaxial layer, allowing the metal quantum dot to be moved onto a step of the first epitaxial layer due to a difference of surface energy, converting the metal quantum dot into a metal quantum-dot semiconductor crystal having a lattice constant corresponding to that of the first epitaxial layer, and growing a second epitaxial layer on the first epitaxial layer.
    Type: Application
    Filed: February 19, 2009
    Publication date: July 29, 2010
    Inventor: Jae-Eung Oh
  • Publication number: 20100190322
    Abstract: A substrate for epitaxial growth, which is capable of improving a surface state of an epitaxial layer at microroughness level. In a substrate for epitaxial growth, when haze is defined as a value calculated by dividing intensity of scattered light obtained when light is incident from a predetermined light source onto a surface of a substrate, by intensity of the incident light from the light source, the haze is not more than 2 ppm all over an effectively used area of the substrate and an off-angle with respect to a plane direction is 0.05 to 0.10°.
    Type: Application
    Filed: April 5, 2010
    Publication date: July 29, 2010
    Inventors: Kenji SUZUKI, Ryuichi HIRANO, Masashi NAKAMURA
  • Publication number: 20100184278
    Abstract: There is provided a method for epitaxial growth, wherein a quantum dot is formed on an epitaxial layer using a quantum-dot forming material with an excellent lattice matching property, and the formed quantum dot is positioned on a defect in the epitaxial layer, thereby minimizing transfer of the defect into an epitaxial layer formed through a subsequent process. The method includes preparing a first epitaxial layer having a defect formed therein; coating an anti-surfactant on the first epitaxial layer; supplying a quantum-dot forming material lattice-matched with respect to the first epitaxial layer, thereby forming a quantum dot obtained by allowing the anti-surfactant to react with the quantum-dot forming material on the first epitaxial layer; allowing the quantum dot to be moved onto a step of the first epitaxial layer due to a difference of surface energies between the quantum dot and the first epitaxial layer; and growing a second epitaxial layer on the first epitaxial layer.
    Type: Application
    Filed: February 18, 2009
    Publication date: July 22, 2010
    Inventor: Jae-eung OH
  • Publication number: 20100184279
    Abstract: A method of making an epitaxial structure includes: (a) forming laterally a first epitaxial layer on a base layer, the first epitaxial layer having an epitaxial surface; (b) etching the first epitaxial layer using a wet etching agent so that the epitaxial surface has a plurality of first recesses; (c) depositing on the first epitaxial layer a defect-termination layer; and (d) removing the defect-termination layer by a chemical mechanical polishing process, thereby forming a plurality of defect-termination blocks that respectively and fill the first recesses, wherein the defect-termination blocks have polished surfaces that are substantially flush with the epitaxial surface.
    Type: Application
    Filed: January 15, 2010
    Publication date: July 22, 2010
    Inventors: Dong-Sing Wuu, Ray-Hua Horng, Shih-Ting Chen, Tshung-Han Tsai, Hsueh-Wei Wu
  • Publication number: 20100178756
    Abstract: A nitride semiconductor device includes: a substrate having a principal surface; a first nitride semiconductor layer formed on the principal surface of the substrate and includes one or more convex portions whose side surfaces are vertical to the principal surface; and a second nitride semiconductor layer selectively grown on the side surfaces of the one or more convex portions of the first nitride semiconductor layer.
    Type: Application
    Filed: October 29, 2009
    Publication date: July 15, 2010
    Applicant: PANASONIC CORPORATION
    Inventors: Toshiyuki TAKIZAWA, Jun Shimizu, Tetsuzo Ueda
  • Publication number: 20100176490
    Abstract: Methods of fabricating relaxed layers of semiconductor materials include forming structures of a semiconductor material overlying a layer of a compliant material, and subsequently altering a viscosity of the compliant material to reduce strain within the semiconductor material. The compliant material may be reflowed during deposition of a second layer of semiconductor material. The compliant material may be selected so that, as the second layer of semiconductor material is deposited, a viscosity of the compliant material is altered imparting relaxation of the structures. In some embodiments, the layer of semiconductor material may comprise a III-V type semiconductor material, such as, for example, indium gallium nitride. Methods of fabricating semiconductor structures and devices are also disclosed. Novel intermediate structures are formed during such methods.
    Type: Application
    Filed: September 21, 2009
    Publication date: July 15, 2010
    Inventors: Fabrice LETERTRE, Bruce FAURE, Michael R. Krames, Nathan F. GARDNER
  • Publication number: 20100159678
    Abstract: Germanium circuit-type structures are facilitated. In one example embodiment, a multi-step growth and anneal process is implemented to grow Germanium (Ge) containing material, such as heteroepitaxial-Germanium, on a substrate including Silicon (Si) or Silicon-containing material. In certain applications, defects are generally confined near a Silicon/Germanium interface, with defect threading to an upper surface of the Germanium containing material generally being inhibited. These approaches are applicable to a variety of devices including Germanium MOS capacitors, pMOSFETs and optoelectronic devices.
    Type: Application
    Filed: March 8, 2010
    Publication date: June 24, 2010
    Applicants: CANON KABUSHIKI KAISHA, The Board of Trustees of the Leland Stanford Junior University
    Inventors: Ammar Munir Nayfeh, Chi On Chui, Krishna C. Saraswat, Takao Yonehara
  • Publication number: 20100133509
    Abstract: A method for fabricating a semiconductor nanowire that has first and second regions is provided. A catalyst particle is put on a substrate. A first source gas is introduced, thereby growing the first region from the catalyst particle via a vapor-liquid-solid phase growth. A protective coating is formed on a sidewall of the first region, and a second source gas is introduced to grow the second region extending from the first region via the liquid-solid-phase growth.
    Type: Application
    Filed: June 4, 2008
    Publication date: June 3, 2010
    Applicant: PANASONIC CORPORATION
    Inventors: Takahiro Kawashima, Tohru Saitoh
  • Patent number: 7713847
    Abstract: A method for preparing an AlGaN crystal layer with good surface flatness is provided. A surface layer of AlN is epitaxially formed on a c-plane sapphire single crystal base material by MOCVD method, and the resulting laminated body is then heated at a temperature of 1300° C. or higher so that a template substrate applying in-plane compressive stress and having a surface layer flat at a substantially atomic level is obtained. An AlGaN layer is formed on the template substrate at a deposition temperature higher than 1000° C. by an MOCVD method that includes depositing alternating layers of a first unit layer including a Group III nitride represented by the composition formula AlxGa1-xN (0?x?1) and a second unit layer including a Group III nitride represented by the composition formula AlyGa1-yN (0?y?1 and y?x) such that the AlGaN layer has a superlattice structure.
    Type: Grant
    Filed: March 19, 2008
    Date of Patent: May 11, 2010
    Assignee: NGK Insulators, Ltd.
    Inventors: Kei Kosaka, Shigeaki Sumiya, Tomohiko Shibata
  • Patent number: 7691654
    Abstract: A method for manufacturing an active matrix substrate having a pixel electrode including: forming a bank partitioning the pixel electrode by a droplet discharge method; and disposing a functional liquid containing a conductive material to a region partitioned by the bank so as to form the pixel electrode.
    Type: Grant
    Filed: February 3, 2006
    Date of Patent: April 6, 2010
    Assignee: Seiko Epson Corporation
    Inventor: Katsuyuki Moriya
  • Publication number: 20100072515
    Abstract: A surface of the first semiconductor crystalline material has a reduced roughness. A semiconductor device includes a low defect, strained second semiconductor crystalline material over the surface of the first crystalline material. A surface of the strained second semiconductor crystalline material has a reduced roughness. One example includes obtaining a surface with reduced roughness by creating process parameters that reduce impurities at an interfacial boundary between the first and second semiconductor crystalline materials. In one embodiment, the first semiconductor crystalline material can be confined by an opening in an insulator having an aspect ratio sufficient to trap defects using Aspect Ratio Trapping techniques.
    Type: Application
    Filed: September 18, 2009
    Publication date: March 25, 2010
    Applicant: AMBERWAVE SYSTEMS CORPORATION
    Inventors: Ji-Soo Park, James G. Fiorenza
  • Patent number: 7682952
    Abstract: A structure and method of forming same, comprising a low threading density alloy graded layer, deposited according to a deposition temperature profile in correspondence with increasing alloy composition. In one embodiment, a first substantially relaxed alloy graded layer is deposited while varying a deposition temperature according to a first temperature profile. A second substantially relaxed alloy graded layer is deposited over the first graded layer while varying a deposition temperature according to a second temperature profile. Preferably, the minimum signed rate of change of the second temperature profile is less than the maximum signed rate of change of the first temperature profile.
    Type: Grant
    Filed: November 30, 2004
    Date of Patent: March 23, 2010
    Assignee: Massachusetts Institute of Technology
    Inventors: David Michael Isaacson, Eugene A. Fitzgerald
  • Patent number: 7682885
    Abstract: A method for fabricating a semiconductor device includes forming a sacrificial layer over a substrate, forming a contact hole in the sacrificial layer, forming a pillar to fill the contact hole. The pillar laterally extends up to a surface of the sacrificial layer and then the sacrificial layer is removed. The method further includes forming a gate dielectric layer over an exposed sidewall of the pillar, and forming a gate electrode over the gate dielectric layer. The gate electrode surrounds the sidewall of the pillar.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: March 23, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jun-Hee Cho, Sang-Hoon Park
  • Publication number: 20100022075
    Abstract: An active region in a semiconductor device is made up of a parallel p-n layer including a first p-semiconductor layer and a first n-semiconductor with the widths and total amounts of impurities being equal to each other to provide a structure in which charges are balanced. A section parallel to stripes in the parallel p-n layer in an inactive region is made up of a second parallel p-n layer including a second p-semiconductor layer, with its width larger than that of the first p-semiconductor layer, and a second n-semiconductor layer with its width smaller than that of the first n-semiconductor layer. The total amount of impurities in the second p-semiconductor layer is made larger than that in the second n-semiconductor layer to provide a structure in which charges are made unbalanced.
    Type: Application
    Filed: September 29, 2009
    Publication date: January 28, 2010
    Applicant: FUJI ELECTRIC HOLDINGS CO., LTD.
    Inventors: Kouta TAKAHASHI, Susumu IWAMOTO
  • Publication number: 20100009524
    Abstract: A semiconductor fabrication method. The method includes providing a semiconductor substrate, wherein the semiconductor substrate includes a semiconductor material. Next, a top portion of the semiconductor substrate is removed. Next, a first semiconductor layer is epitaxially grown on the semiconductor substrate, wherein a first atom percent of the semiconductor material in the first semiconductor layer is equal to a certain atom percent of the semiconductor material in the semiconductor substrate.
    Type: Application
    Filed: July 8, 2008
    Publication date: January 14, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES
    Inventors: Ashima B. Chakravarti, Judson Robert Holt, Jeremy John Kempisty, Suk Hoon Ku, Woo-Hyeong Lee, Amlan Majumdar, Ryan Matthew Mitchell, Renee Tong Mo, Zhibin Ren, Dinkar Singh