Including Organic Insulating Material Between Metal Levels Patents (Class 438/623)
  • Patent number: 6610592
    Abstract: A method for integrating low-K materials in semiconductor fabrication. The process begins by providing a semiconductor structure having a dielectric layer thereover, wherein the dielectric layer comprising an organic low-K material. The dielectric layer is patterned to form pillar openings. A pillar layer is deposited over the semiconductor structure; thereby filling the pillar openings with the pillar layer. The pillar layer is planarized to form pillars embedded in said dielectric layer. The pillar layer comprises a material having good thermal stability, good structural strength, and good bondability of spin coating back-end materials, improving the manufacturability of organic, low-K dielectrics in semiconductor fabrication. In one embodiment, the pillars are formed prior to forming dual damascene interlayer contacts. In another embodiment, pillars are formed simultaneously with interlayer contacts.
    Type: Grant
    Filed: April 24, 2000
    Date of Patent: August 26, 2003
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Shau-Lin Shue, Ming-Hsin Tsai
  • Patent number: 6605519
    Abstract: A method for forming an etching mask structure on a substrate includes etching the substrate, laterally expanding the etching mask structure, and depositing a self-aligned metal layer that is aligned to the originally masked area. The etching can be isotropic or anisotropic. The self-aligned metal layer can be distanced from the original etching masked area based on the extent of the intentionally laterally expanded etching mask layer. Following metal deposition, the initial mask structure can be removed, thus lifting off the metal atop it. The etching mask structure can be a resist and can be formed using conventional photolithography materials and techniques and can have nearly vertical sidewalls. The lateral extension can include a silylation technique of the etching mask layer following etching. The above method can be utilized to form bipolar, hetero-bipolar, or field effect transistors.
    Type: Grant
    Filed: May 2, 2001
    Date of Patent: August 12, 2003
    Assignee: Unaxis USA, Inc.
    Inventor: David G. Lishan
  • Patent number: 6602804
    Abstract: Porous dielectric materials having low dielectric constants useful in electronic component manufacture are disclosed along with methods of preparing the porous dielectric materials. Also disclosed are methods of forming integrated circuits containing such porous dielectric materials.
    Type: Grant
    Filed: July 1, 2002
    Date of Patent: August 5, 2003
    Assignee: Shipley Company, L.L.C.
    Inventors: Craig S. Allen, Nikoi Annan, Robert M. Blankenship, Michael K. Gallagher, Robert H. Gore, Angelo A. Lamola, Yujian You
  • Patent number: 6599826
    Abstract: A fabrication method for a low dielectric constant (k) material layer is described. A high molecular weight material layer is formed on a substrate. The high molecular weight material layer is then cured. A bonding material layer is formed on the high molecular weight material layer, wherein a major component in the bonding material layer is an organic compound, wherein the organic compound has a silicon-containing moiety and an unsaturated hydrocarbon moiety. The bonding material layer is further cured, allowing the organic silicon compound to cross-link within the high molecular weight material layer to form a high molecular weight material layer with a silicon rich surface. Moreover, the curing for the high molecular weight material layer and for the bonding material layer can conduct concurrently.
    Type: Grant
    Filed: June 19, 2001
    Date of Patent: July 29, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Tsung-Tang Hsieh, Cheng-Yuan Tsai
  • Patent number: 6599846
    Abstract: The present invention provides a method for forming a silica-containing film with a low-dielectric constant of 3 or less on a semiconductor substrate steadily, which comprises steps of (a) applying a coating liquid for forming the silica-containing film with the low-dielectric constant onto the semiconductor substrate, (b) heating the thus coated film at 50 to 350° C., and then (c) curing the thus treated film at 350 to 450° C. in an inert-gas atmosphere containing 500 to 15,000 ppm by volume of oxygen, and also provides a semiconductor substrate having a silica-containing film formed by the above method. The above step (b) for the thermal treatment is preferably conducted at 150 to 350° C. for 1 to 3 minutes in an air atmosphere. Also, the above curing step (c) is preferably conducted by placing the semiconductor substrate on a hot plate kept at 350 to 450° C.
    Type: Grant
    Filed: August 28, 2001
    Date of Patent: July 29, 2003
    Assignee: Catalysts & Chemicals Industries Co., Ltd.
    Inventors: Michio Komatsu, Akira Nakashima, Miki Egami, Ryo Muraguchi
  • Patent number: 6599838
    Abstract: A method for forming a metal filled semiconductor feature including a low dielectric constant CMP polishing stop layer for improving a CMP polishing process including providing a semiconductor processing surface having a anisotropically etched semiconductor feature formed through a thickness including a second dielectric insulating layer overlying a first dielectric insulating layer, the second dielectric insulating layer having a CMP material removal rate in a CMP process less than about ½ of a CMP material removal rate of the first dielectric insulating layer in the CMP process; filling the anisotropically etched semiconductor feature with a metal to form a metal filled semiconductor feature; and, planarizing according to the CMP process excess material including the metal overlying the second dielectric insulating layer.
    Type: Grant
    Filed: July 2, 2002
    Date of Patent: July 29, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Tsu Shih, Sung-Ming Jang
  • Patent number: 6596627
    Abstract: The present invention provides a method for depositing nano-porous low dielectric constant films by reacting an oxidizable silicon containing compound or mixture comprising an oxidizable silicon component and an oxidizable non-silicon component having thermally liable groups with nitrous oxide, oxygen, ozone, or other source of reactive oxygen in gas-phase plasma-enhanced reaction. The deposited silicon oxide based film is annealed to form dispersed microscopic voids that remain in a nano-porous silicon oxide based film having a low-density structure. The nano-porous silicon oxide based films are useful for forming layers between metal lines with or without liner or cap layers. The nano-porous silicon oxide based films may also be used as an intermetal dielectric layer for fabricating dual damascene structures.
    Type: Grant
    Filed: June 28, 2002
    Date of Patent: July 22, 2003
    Assignee: Applied Materials Inc.
    Inventor: Robert P. Mandal
  • Patent number: 6596624
    Abstract: Disclosed is a multilayer integrated circuit structure joined to a chip carrier, and a process of making, in which the area normally occupied by a solid dielectric material in the IC is at least partially hollow. The hollow area can be filled with a gas, such as air, or placed under vacuum, minimizing the dielectric constant. Several embodiments and processing variants are disclosed. In one embodiment of the invention, the wiring layers, which are embedded in a temporary dielectric, alternate with via layers, also embedded in a temporary dielectric, in which the vias, besides establishing electrical communication between the wiring layers, also provide mechanical support for after the temporary dielectric is removed. Additional support is optionally provided by support structures though the interior levels and at the periphery of the chip. The temporary dielectric is removed subsequent to joining by dissolution or by ashing in an oxygen-containing plasma.
    Type: Grant
    Filed: July 19, 2000
    Date of Patent: July 22, 2003
    Assignee: International Business Machines Corporation
    Inventor: Lubomyr Taras Romankiw
  • Patent number: 6596623
    Abstract: The present invention relates to a methodology of fabricating a local interconnect. The methodology includes the steps of forming an organic stop layer over a semiconductor structure having at least one conductive region, forming an insulating layer over the organic layer, forming a photoresist layer over the insulating layer, patterning the photoresist layer with at least one opening above the at least one conductive region, etching at least one opening in the insulating layer, concurrently stripping the photoresist layer and an exposed portion of the organic layer and filling the at least one opening with a conductive material to form the local interconnect.
    Type: Grant
    Filed: March 17, 2000
    Date of Patent: July 22, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ramkumar Subramanian, Wenge Yang
  • Publication number: 20030134500
    Abstract: Both of a first insulating film and a second insulating film are formed by a spin coating method. Accordingly, the formation of the first insulating film and the second insulating film can be performed in the same SOD processing system. Moreover, the aforesaid formation of both of the first insulating film and the second insulating film by the spin coating method can provide favorable low dielectric constant properties and good adhesion of the first insulating film and the second insulating film.
    Type: Application
    Filed: March 17, 2003
    Publication date: July 17, 2003
    Inventors: Takayuki Komiya, Shinji Nagashima, Shigeyoshi Kojima
  • Patent number: 6593247
    Abstract: A silicon oxide layer is produced by plasma enhanced oxidation of an organosilicon compound to deposit films having a carbon content of at least 1% by atomic weight. Films having low moisture content and resistance to cracking are deposited by introducing oxygen into the processing chamber at a flow rate of less than or equal to the flow rate of the organosilicon compounds, and generating a plasma at a power density ranging between 0.9 W/cm2 and about 3.2 W/cm2. An optional carrier gas may be introduced to facilitate the deposition process at a flow rate less than or equal to the flow rate of the organosilicon compounds. The organosilicon compound preferably has 2 or 3 carbon atoms bonded to each silicon atom, such as trimethylsilane, (CH3)3SiH. An oxygen rich surface may be formed adjacent the silicon oxide layer by temporarily increasing oxidation of the organosilicon compound.
    Type: Grant
    Filed: April 19, 2000
    Date of Patent: July 15, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Tzu-Fang Huang, Yung-Cheng Lu, Li-Qun Xia, Ellie Yieh, Wai-Fan Yau, David W. Cheung, Ralf B. Willecke, Kuowei Liu, Ju-Hyung Lee, Farhad K. Moghadam, Yeming Jim Ma
  • Patent number: 6593655
    Abstract: This invention pertains to a method for producing hydrogenated silicon oxycarbide (H:SiOC) films having low dielectric constant. The method comprises reacting an methyl-containing silane in a controlled oxygen environment using plasma enhanced or ozone assisted chemical vapor deposition to produce the films. The resulting films are useful in the formation of semiconductor devices and have a dielectric constant of 3.6 or less.
    Type: Grant
    Filed: August 14, 2000
    Date of Patent: July 15, 2003
    Assignee: Dow Corning Corporation
    Inventors: Mark Jon Loboda, Jeffrey Alan Seifferly
  • Patent number: 6593225
    Abstract: A method of forming a stacked dielectric layer on a semiconductor substrate having metal patterns. A first dielectric layer is formed on the semiconductor substrate. Next, a second dielectric layer is formed on the first dielectric layer to generate a composite dielectric layer. The second dielectric layer has a dielectric constant (k) higher than that of the first dielectric layer, a hardness higher than that of the first dielectric layer, and a thickness less than that of the first dielectric layer. The steps of forming the first dielectric layer and second dielectric layer can be repeated at least 2 to 3 times to form a stacked dielectric layer.
    Type: Grant
    Filed: March 5, 2002
    Date of Patent: July 15, 2003
    Assignee: Silicon Integrated Systems Corp.
    Inventor: Shyh-Dar Lee
  • Publication number: 20030129824
    Abstract: A method of making a micromechanical device including forming recesses (28) using two sacrificial layers (22 and 27). A first sacrificial layer (22) is formed over an input signal line (16) and an output signal line (17). A portion of the first sacrificial layer (22) is removed to form openings (26) over the input signal line (16) and the output signal line (17). A second sacrificial layer (27) is formed over the first sacrificial layer (22) and openings (26) to form recesses (28) over the openings (26). A conductive layer (32) is formed over the second sacrificial layer (27) and the recesses (28). The conductive layer (32) serves as a shorting bar of a cantilever beam structure that couples input signal line (16) to output signal line (17) during operation.
    Type: Application
    Filed: January 8, 2002
    Publication date: July 10, 2003
    Inventor: Lianjun Liu
  • Patent number: 6589882
    Abstract: The invention includes a method of cleaning a surface of a copper-containing material by exposing the surface to an acidic mixture comprising NO3−, F− and one or more organic acid anions having carboxylate groups. The invention also includes a semiconductor processing method of forming an opening to a copper-containing material. A mass is formed over a copper-containing material within an opening in a substrate. The mass contains at least one of an oxide barrier material and a dielectric material. A second opening is etched through the mass into the copper-containing material to form a base surface of the copper-containing material that is at least partially covered by particles comprising at least one of a copper oxide, a silicon oxide or a copper fluoride. The base surface is cleaned with a solution comprising nitric acid, hydrofluoric acid and one or more organic acids to remove at least some of the particles.
    Type: Grant
    Filed: October 24, 2001
    Date of Patent: July 8, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Michael T. Andreas, Paul A. Morgan
  • Patent number: 6589862
    Abstract: The invention relates to cured dielectric films and a process for their manufacture which are useful in the production of integrated circuits. Dual layered dielectric films are produced in which a lower layer comprises a non-silicon containing organic polymer and an upper layer comprises an organic, silicon containing polymer. Such films are useful in the manufacture of microelectronic devices such as integrated circuits (IC's). In one aspect the upper layer silicon containing polymer has less than 40 Mole percent carbon containing substituents, and in another aspect it has at least approximately 40 Mole percent carbon containing substituents.
    Type: Grant
    Filed: February 13, 2002
    Date of Patent: July 8, 2003
    Assignee: AlliedSignal Inc.
    Inventors: Shi-Qing Wang, Jude Dunne, Lisa Figge
  • Publication number: 20030122244
    Abstract: An integrated chip package structure and method of manufacturing the same is by adhering dies on a metal substrate and forming a thin-film circuit layer on top of the dies and the metal substrate. Wherein the thin-film circuit layer has an external circuitry, which is electrically connected to the metal pads of the dies, that extends to a region outside the active surface of the dies for fanning out the metal pads of the dies. Furthermore, a plurality of active devices and an internal circuitry is located on the active surface of the dies. Signal for the active devices are transmitted through the internal circuitry to the external circuitry and from the external circuitry through the internal circuitry back to other active devices. Moreover, the chip package structure allows multiple dies with different functions to be packaged into an integrated package and electrically connecting the dies by the external circuitry.
    Type: Application
    Filed: January 22, 2002
    Publication date: July 3, 2003
    Inventors: Mou-Shiung Lin, Jin-Yuan Lee, Ching-Cheng Huang
  • Publication number: 20030124835
    Abstract: An integrated chip package structure and method of manufacturing the same is by adhering dies on a silicon substrate and forming a thin-film circuit layer on top of the dies and the silicon substrate. Wherein the thin-film circuit layer has an external circuitry, which is electrically connected to the metal pads of the dies, that extends to a region outside the active surface of the dies for fanning out the metal pads of the dies. Furthermore, a plurality of active devices and an internal circuitry is located on the active surface of the dies. Signal for the active devices are transmitted through the internal circuitry to the external circuitry and from the external circuitry through the internal circuitry back to other active devices. Moreover, the chip package structure allows multiple dies with different functions to be packaged into an integrated package and electrically connecting the dies by the external circuitry.
    Type: Application
    Filed: June 17, 2002
    Publication date: July 3, 2003
    Inventors: Mou-Shiung Lin, Jin-Yuan Lee, Ching-Cheng Huang
  • Patent number: 6586325
    Abstract: A process includes the steps of selectively and non-porously anodizing a metal film on a substrate to form a dense non-porous oxide layer on a first exposed area of the metal film, and selectively and porously anodizing the metal film and the dense non-porous oxide layer to convert the dense non-porous oxide layer and the metal film at a second exposed area into a porous oxide layer.
    Type: Grant
    Filed: June 26, 2001
    Date of Patent: July 1, 2003
    Assignee: Cosmos Vacuum Technology Corporation
    Inventor: Chung-Lin Chou
  • Patent number: 6583047
    Abstract: A method of forming a microelectronic device while preventing photoresist poisoning. Various layers of conductive metals and dielectric materials are deposited onto a substrate in selective sequence to form an integrated circuit. Vias and trenches are formed throughout the structure by exposing and patterning a photoresist material. The dielectric materials of the insulating layers are protected from the photoresist to prevent chemical reactions which lead to photoresist poisoning. This is done by forming a modified surface layer on the dielectric material by either depositing an additional layer that covers the dielectric material, or by modifying the exposed surface of the dielectric material to a plasma or chemical treatment.
    Type: Grant
    Filed: December 26, 2000
    Date of Patent: June 24, 2003
    Assignee: Honeywell International, Inc.
    Inventors: Brian J. Daniels, Jude A. Dunne, Joseph T. Kennedy
  • Patent number: 6583048
    Abstract: A method of forming a low dielectric constant interlayer dielectric film on a substrate by reacting, under chemical vapor deposition conditions sufficient to deposit the film on the substrate, an organosilicon precursor comprising a silyl ether, a silyl ether oligomer, or an organosilicon compound containing one or more reactive groups, to form an interlayer dielectric film having a dielectric constant of 3.5 or less. The films formed by the above method.
    Type: Grant
    Filed: August 31, 2001
    Date of Patent: June 24, 2003
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Jean Louise Vincent, Mark Leonard O'Neill, Howard Paul Withers, Jr., Scott Edward Beck, Raymond Nicholas Vrtis
  • Patent number: 6583049
    Abstract: A method for making a semiconductor integrated circuit device comprises the steps of: (a) depositing a first underlying film made of titanium nitride, on an insulating film having a plurality of through-holes; (b) depositing a tungsten film on the first underlying film, and etching the tungsten film back by means of a fluorine-containing plasma thereby leaving the tungsten film only in the connection holes; (c) sputter etching the surface of the first underlying film to remove the fluorine from the surface of the first underlying film; and (d) forming an aluminum film on the first underlying film. The semiconductor integrated circuit device obtained by the method is also described.
    Type: Grant
    Filed: December 3, 2001
    Date of Patent: June 24, 2003
    Assignees: Hitachi, Ltd., Hitachi ULSI Engineering Corp., Hitachi Microcomputer System Ltd.
    Inventors: Masayuki Suzuki, Shinji Nishihara, Masashi Sahara, Shinichi Ishida, Hiromi Abe, Sonoko Tohda, Hiroyuki Uchiyama, Hideaki Tsugane, Yoshiaki Yoshiura
  • Publication number: 20030113992
    Abstract: A method and apparatus for depositing a low dielectric constant film by reaction of an organo silane compound and an oxidizing gas. The oxidized organo silane film has excellent barrier properties for use as a liner or cap layer adjacent other dielectric layers. The oxidized organo silane film can also be used as an etch stop or an intermetal dielectric layer for fabricating dual damascene structures. The oxidized organo silane films also provide excellent adhesion between different dielectric layers. A preferred oxidized organo silane film is produced by reaction of methyl silane, CH3SiH3, and N2O.
    Type: Application
    Filed: November 21, 2002
    Publication date: June 19, 2003
    Applicant: Applied Materials, Inc.
    Inventors: Wai-Fan Yau, David Cheung, Shin-Puu Jeng, Kuowei Liu, Yung-Cheng Yu
  • Patent number: 6580143
    Abstract: A surface modification layer having a surface modification coefficient of 0.1 to 0.5 is formed on the surface of an organic insulating film on a substrate. A metal wiring is provided on the surface of the organic insulating film having the surface modification layer formed at the surface thereof. Thus, the bonding strength between the metal wiring and the organic insulating film is enhanced.
    Type: Grant
    Filed: October 9, 2001
    Date of Patent: June 17, 2003
    Assignee: Murata Manufacturing Co., Ltd.
    Inventors: Koji Yoshida, Makoto Tose
  • Patent number: 6576545
    Abstract: Degradation of fluorine-doped silica glass low-k inter-layer dielectrics during fabrication is significantly reduced and resolution of submicron features is improved by the formation of dual nature capping/ARC layers on inter-layer dielectric films. The capping/ARC layer is formed in-situ on a fluorine-doped silica glass inter-layer dielectric. The in-situ formation of the capping/ARC layer provides a strongly adhered capping/ARC layer, formed with fewer processing steps than conventional capping and ARC layers.
    Type: Grant
    Filed: March 29, 2001
    Date of Patent: June 10, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Dawn M. Hopper, Lu You, Minh Van Ngo
  • Publication number: 20030100175
    Abstract: A low dielectric constant material having an excellent water resistance obtained by heat-treating a borazine compound of the formula (1-2): 1
    Type: Application
    Filed: October 9, 2002
    Publication date: May 29, 2003
    Applicant: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Hideharu Nobutoki, Teruhiko Kumada, Toshiyuki Toyoshima, Naoki Yasuda, Suguru Nagae
  • Patent number: 6566242
    Abstract: A method and structure for fabricating a dual damascene copper interconnect which electrically contacts a damascene tungsten wiring level. The method forms a first layer on a semiconductor substrate, a silicon nitride layer on the first layer, and a silicon dioxide layer on the silicon nitride layer. The first layer includes damascene tungsten interconnect regions separated by insulative dielectric material. A continuous space is formed by etching two contact troughs through the silicon dioxide and silicon nitride layers to expose damascene tungsten interconnect regions, and by etching a top portion of the silicon dioxide layer between the two contact troughs. A reduced-height portion of the silicon dioxide layer remains between the two contact troughs. The continuous space is filled with damascene copper. The resulting dual damascene copper interconnect electrically contacts the exposed damascene tungsten interconnect regions.
    Type: Grant
    Filed: March 23, 2001
    Date of Patent: May 20, 2003
    Assignee: International Business Machines Corporation
    Inventors: Charlotte D. Adams, Anthony K. Stamper
  • Patent number: 6566244
    Abstract: A process for selectively reinforcing portions of a low k dielectric material which comprises first forming a low k dielectric layer, then forming openings in the low k layer in portions of the low k layer needing reinforcement, and then filling the openings with reinforcing material, preferably reinforcing material having a higher Young's modulus of elasticity than the low k dielectric material. Such selective reinforcement of certain portions of low k dielectric material may comprise selectively reinforcing the low k dielectric material beneath the bonding pads, with reinforcing material. The low k dielectric material may be reinforced by openings in the low k dielectric material formed beneath portions of the low k dielectric layer where a capping layer will be formed over the low k dielectric material. Subsequent formation of the capping layer will simultaneously fill the openings with capping material, which may then also function as reinforcement material in the openings.
    Type: Grant
    Filed: May 3, 2002
    Date of Patent: May 20, 2003
    Assignee: LSI Logic Corporation
    Inventors: Charles E. May, Venkatesh P. Gopinath, Peter J. Wright
  • Patent number: 6562710
    Abstract: After depositing a metal film on an insulating film on a semiconductor substrate, a first interlayer insulating film is formed on the metal film. After forming first plug openings in the first interlayer insulating film by etching the first interlayer insulating film with a first mask pattern used as a mask, first connection plugs are formed by filling a first conducting film in the first plug openings. A second interlayer insulating film is formed on the first interlayer insulating film. After forming second plug openings respectively on the first connection plugs in the second interlayer insulating film by etching the second interlayer insulating film with a second mask pattern used as a mask, second connection plugs are formed by filling a second conducting film in the second plug openings.
    Type: Grant
    Filed: October 9, 2001
    Date of Patent: May 13, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Hideo Nakagawa, Reiko Hinogami, Eiji Tamaoka
  • Patent number: 6559076
    Abstract: A method is disclosed for removal of free halogen from a semiconductor device insulating layer, in particular, a halogen-containing polymer insulating layer. The free halogen is removed by contacting the insulating material with hydrogen ions under conditions which generate gaseous hydrogen halide which is then removed. A semiconductor device containing such treated insulating materials is also disclosed. The invention is particularly useful in removing free fluorine from fluorinated polymer insulating layers.
    Type: Grant
    Filed: August 19, 1999
    Date of Patent: May 6, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Paul A. Farrar
  • Patent number: 6559045
    Abstract: The invention relates to the formation of structures in microelectronic devices such as integrated circuit devices by means of borderless via architectures in intermetal dielectrics. An integrated circuit structure has a substrate, a layer of a second dielectric material positioned on the substrate and spaced apart metal contacts are on the layer of the second dielectric material. The metal contacts have side walls, and a lining of a first dielectric on the side walls; a space between the linings on adjacent metal contact side walls filled with the second dielectric material, a top surface of each of the metal contacts, the linings and the spaces are at a common level. An additional layer of the second dielectric material is on some of the metal contacts, linings and filled spaces. At least one via extends through the additional layer of the second dielectric material and extends to the top surface of at least one metal contact and optionally at least one of the linings.
    Type: Grant
    Filed: June 12, 2002
    Date of Patent: May 6, 2003
    Assignee: Alliedsignal Inc.
    Inventor: Henry Chung
  • Patent number: 6559033
    Abstract: Protective caps are formed over horizontally closely spaced apart metal lines of an integrated circuit structure. Low k silicon oxide dielectric material is then deposited over and between the metal lines and over protective caps on the lines. After the formation of such low k material between the lines and over the caps, standard k dielectric material is deposited over the low k layer as a planarizing layer over low portions of the low k layer between the lines which may be lower than the top of the caps on the lines to prevent further etching or dishing of the low k layer of during planarizing. The structure is then planarized to bring the low k dielectric material down to the tops of the protective caps on the metal lines. A layer of standard k silicon material is then formed over the planarized low k layer and the caps to allow via formation without passing through the low k layer to avoid via poisoning.
    Type: Grant
    Filed: June 27, 2001
    Date of Patent: May 6, 2003
    Assignee: LSI Logic Corporation
    Inventors: John Rongxiang Hu, Kai Zhang, Senthil K. Arthanari, Hong-Qiang Michael Lu
  • Patent number: 6559070
    Abstract: The present invention generally provides a process and an apparatus for depositing low dielectric constant films on a substrate. The low dielectric constant films are phosphorus doped mesoporous oxide films formed by depositing and curing a phosphorus containing sol-gel precursor to form an oxide film having interconnecting pores of uniform diameter, and then annealing the film in an inert gas atmosphere or exposing the film to an oxidizing atmosphere containing a reactive oxygen species to form a phosphorus doped mesoporous oxide film.
    Type: Grant
    Filed: April 11, 2000
    Date of Patent: May 6, 2003
    Assignee: Applied Materials, Inc.
    Inventor: Robert P. Mandal
  • Patent number: 6552438
    Abstract: Bonding pads for integrated circuits include first and second spaced apart conductive layers, a third continuous conductive layer between the first and second spaced apart and an array of unaligned spaced apart insulating islands in the third continuous conductive layer and extending therethrough such that sidewalls of the array of insulating islands are surrounded by the third continuous conductive layer, rows of unaligned spaced apart insulating islands. The array can include rows of unaligned spaced apart insulating islands and columns of unaligned spaced apart insulating islands. The array of unaligned spaced apart insulating islands can also include a first insulating island having a first edge in a first direction and a second insulating island, adjacent to the first insulating island in the first direction having a second edge in the first direction that is unaligned with first edge.
    Type: Grant
    Filed: December 21, 2000
    Date of Patent: April 22, 2003
    Assignee: Samsung Electronics Co.
    Inventors: Soo-cheol Lee, Jong-hyon Ahn, Kyoung-mok Son, Heon-jong Shin, Hyae-ryoung Lee, Young-pill Kim, Moo-jin Jung, Son-jong Wang, Jae-Cheol Yoo
  • Patent number: 6551921
    Abstract: A first layer metal wire, an SiOF film and an F diffusion prevention film are formed on a surface of a base layer including a substrate, elements formed on the substrate and an insulator layer formed to cover the substrate and the elements. The F diffusion prevention film may be prepared from a silicon oxynitride film or a silicon oxide film containing Si—H bonds. A spacer film is formed on a surface of the F diffusion prevention film and its surface is flattened. A second layer metal wire is formed on a surface of the spacer film. Thus implemented is a semiconductor device comprising an F diffusion prevention film preventing F atoms contained in an SiOF film from diffusing into an upper metal wire with the F diffusion prevention film not etched in formation of the upper metal wire and a method of manufacturing a semiconductor device not directly polishing an SiOF film by CMP.
    Type: Grant
    Filed: February 20, 2001
    Date of Patent: April 22, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Masazumi Matsuura, Kinya Goto
  • Publication number: 20030071360
    Abstract: A method and structure for a device with a signal line over a semiconductor structure where the signal line is formed over the ground plane, passivation layer, and polyimide layer. We provide a semiconductor structure comprising a substrate having devices formed thereover and a plurality of insulating and conductive layers thereover. Next, we form ground plane over the semiconductor structure. The ground plane is the top metal layer over an inter metal dielectric layer. We then form a passivation layer over the ground plane. We form a first dielectric (e.g., polyimide) layer over the passivation layer. Subsequently, we form a signal line over the first dielectric layer. The signal line is formed by a plating or printing. We form a second dielectric layer (e.g., polyimide over the signal line and the first dielectric layer.
    Type: Application
    Filed: November 12, 2002
    Publication date: April 17, 2003
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY
    Inventors: Chaochieh Tsai, Shyhchyi Wong
  • Publication number: 20030073303
    Abstract: For suppressing decomposition of organic group (for example, CH3 group) during ashing process, which is bonded to Si atom of an organic SOG film or layer for use in flattening process, a method comprises following steps: forming an organic SOG layer directly or through a predetermined film including a hillock protection layer on said lower wiring layer; forming said upper wiring layer on said organic SOG layer without processing of etching back; forming a via hole through an etching process by using a patterned resist layer provided on said upper wiring layer as a mask; performing ashing process with a plasma by making ion or radical which is induced from oxygen gas as a main reactant, under an atmosphere of pressure ranging from 0.01 Torr to 30.0 Torr; and burying said via hole with conductive material so as to electrically connect between said lower wiring layer and said upper wiring layer.
    Type: Application
    Filed: November 7, 2002
    Publication date: April 17, 2003
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Hiroyuki Iida, Kazuto Ohbuchi, Atsushi Matsushita, Yoshio Hagiwara
  • Patent number: 6548107
    Abstract: In one aspect, the invention encompasses a method of forming an insulating material around a conductive component. A first material is chemical vapor deposited over and around a conductive component. Cavities are formed within the first material. After the cavities are formed, at least some of the first material is transformed into an insulative second material. In another aspect, the invention encompasses a method of forming an insulating material. Polysilicon is deposited proximate a substrate. A porosity of the polysilicon is increased. After the porosity is increased, at least some of the polysilicon is transformed into silicon dioxide.
    Type: Grant
    Filed: May 22, 2001
    Date of Patent: April 15, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Patent number: 6548400
    Abstract: A method for fabricating circuit interconnects in integrated circuits comprising vertical vias and horizontal trenches between metal lines, wherein only one photomask for creating vias and trenches is needed instead of the conventional two masks. The function of the second mask is replaced by a series of plasma etch steps, which exploit differential etch rates for areas which are open relative to areas which are narrow and constricted. As a technical advantage of the invention, each interconnection created by the method of the invention is a structure of wider trenches and narrower vias, wherein the diameter of the vias is approximately the same as the narrowest width of the reverse trench pattern, and each via is centered within the trench. The reverse trench pattern surrounding the via is approximately twice the width of the via diameter.
    Type: Grant
    Filed: July 27, 2001
    Date of Patent: April 15, 2003
    Assignee: Texas Instruments Incorporated
    Inventors: Kenneth D. Brennan, Qing-Tang Jiang
  • Publication number: 20030062616
    Abstract: A structure and method thereof for providing an electrically conductive path between a first conductive point and a second conductive point. The structure includes an insulating material disposed between the first conductive point and the second conductive point. A dipole material is distributed within the insulating material. The dipole material is comprised of randomly oriented magnetic particles. The magnetic particles in a selected localized region of the insulating material are aligned to form an electrically conductive path between the first conductive point and the second conductive point through the insulating material.
    Type: Application
    Filed: September 7, 1999
    Publication date: April 3, 2003
    Inventor: WILLIAM PATRICK HUSSEY
  • Patent number: 6541286
    Abstract: A method is provided for X-ray imaging and analyzing grain boundaries, nodules or extrusions, voids, and separations or delaminations in conductive layers under dielectric capping layers in integrated circuit interconnects.
    Type: Grant
    Filed: June 27, 2001
    Date of Patent: April 1, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Joffre F. Bernard, Minh Quoc Tran
  • Patent number: 6541368
    Abstract: Metal lines of a semiconductor device and methods of forming same are disclosed. During a damascene process filling up a metal line in an insulating film, a low-k layer is used as an insulating film. An anchor groove is formed in one portion of the low-k layer. The anchor groove is filled up with an anchor layer. A metal line is formed, which contacts one or more underlying layers through the anchor layer and the interlayer isolation film. As a result, it is possible to prevent a distortion of a metal line and/or damage to a hard-mask layer, thereby improving device productivity and yield.
    Type: Grant
    Filed: June 28, 2002
    Date of Patent: April 1, 2003
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hyung Jun Kim
  • Patent number: 6541367
    Abstract: The present invention provides a method for depositing nano-porous low dielectric constant films by reacting an oxidizable silicon containing compound or mixture comprising an oxidizable silicon component and an oxidizable non-silicon component having thermally labile groups with nitrous oxide, oxygen, ozone, or other source of reactive oxygen in gas-phase plasma-enhanced reaction. The deposited silicon oxide based film is annealed to form dispersed microscopic voids that remain in a nano-porous silicon oxide based film having a low-density structure. The nano-porous silicon oxide based films are useful for forming layers between metal lines with or without liner or cap layers. The nano-porous silicon oxide based films may also be used as an intermetal dielectric layer for fabricating dual damascene structures.
    Type: Grant
    Filed: January 18, 2000
    Date of Patent: April 1, 2003
    Assignee: Applied Materials, Inc.
    Inventor: Robert P. Mandal
  • Patent number: 6541396
    Abstract: In a method for manufacturing a semiconductor device having a first insulation film, a second insulation film formed over the first insulation film, an inlaid interconnection formed in the second insulation film, and an organic film provided on the inlaid interconnection layer and the second insulation film, the organic film having a dielectric constant lower than the second insulation film, the organic film is grown inside a vacuum chamber.
    Type: Grant
    Filed: October 24, 2001
    Date of Patent: April 1, 2003
    Assignee: NEC Corporation
    Inventors: Jun Kawahara, Yoshihiro Hayashi
  • Patent number: 6537908
    Abstract: A cost effective and simple method of patterning interconnect structures is provided in which spun-on materials are used as the hard mask. The use of spun-on materials for the hard mask ensures that the process is carried out in a single tool and it permits the use of a single curing step which is not typically employed in patterning processes wherein CVD hard masks are employed. The effective dielectric constant of the resultant structure is not significantly increased since the use of spin coating allows for selection of a polish stop layer (formed on a surface of a low-k dielectric) that has substantially the same dielectric constant as the underlying dielectric. In the present invention, the hard mask employed includes at least two spun-on dielectric materials that have different etch rates.
    Type: Grant
    Filed: February 28, 2001
    Date of Patent: March 25, 2003
    Assignee: International Business Machines Corporation
    Inventors: Ann Rhea-Helene Fornof, Stephen McConnell Gates, Jeffrey Curtis Hedrick, Satyanarayana V. Nitta, Sampath Purushothaman, Christy Sensenich Tyberg
  • Patent number: 6537904
    Abstract: When a semiconductor device using fluorine-containing carbon films (CF films) 21, 22, 23 as inter-layer dielectric films is fabricated using boron nitride films (BN films) as hard masks 31, 32, 33, total inter-wiring capacitance of the semiconductor device can be made low. After a first CF film 21 as an inter-layer dielectric film is stacked, a hard mask 31 composed of a BN film is stacked on the CF film 21, and thereafter selectively removed by etching to form a predetermined groove pattern. The CF film 21 is next etched by using the hard mask 31 as a mask to form grooves for forming wiring layers 51. Then, Cu is buried into the grooves to complete the semiconductor device. Since this semiconductor device uses the CF film and the MN film having low relative dielectric constants, the relative dielectric constant of the entire semiconductor device can be made low. As a result, its total inter-wiring capacitance can be made low as well.
    Type: Grant
    Filed: September 8, 2000
    Date of Patent: March 25, 2003
    Assignee: Tokyo Electron Limited
    Inventor: Shuichi Ishizuka
  • Patent number: 6537923
    Abstract: A capping layer of an insulator such as silicon nitride is formed over horizontally closely spaced apart metal lines on an oxide layer of an integrated circuit structure formed on a semiconductor substrate. Low k silicon oxide dielectric material which exhibits void-free deposition properties in high aspect ratio regions between the closely spaced apart metal lines is then deposited over and between the metal lines and over the silicon nitride caps on the metal lines. After the formation of such low k silicon oxide dielectric material between the closely spaced apart metal lines and the over silicon nitride caps thereon, a second layer of silicon nitride is deposited over the layer of low k silicon oxide dielectric material.
    Type: Grant
    Filed: October 31, 2000
    Date of Patent: March 25, 2003
    Assignee: LSI Logic Corporation
    Inventors: Hemanshu D. Bhatt, Shafqat Ahmed, Robindranath Banerjee
  • Publication number: 20030054671
    Abstract: In case of depositing a silicon oxide film and a silicate glass film, which are used as inter-layer insulating films by high density plasma CVD method, deposition temperature is set in the range from 400 to 680° C., preferably in the range from 400 to 600° C., furthermore preferably in the range from 450 to 550° C. Thereby, it is aimed to improve reliability of the insulating films by controlling plasma damage while controlling expansion of contact holes caused by a hydrofluoric acid process in pretreatment prior to a process for burying the contact holes with burying material after the contact holes have been formed in the insulating film.
    Type: Application
    Filed: October 1, 2002
    Publication date: March 20, 2003
    Inventor: Shigeru Fujita
  • Publication number: 20030054667
    Abstract: A method and apparatus for depositing a low dielectric constant film includes depositing a silicon oxide based film, preferably by reaction of an organosilicon compound and an oxidizing gas at a low RF power level from about 10W to about 500W, exposing the silicon oxide based film to water or a hydrophobic-imparting surfactant such as hexamethyldisilazane, and curing the silicon oxide based film at an elevated temperature. Dissociation of the oxidizing gas can be increased in a separate microwave chamber to assist in controlling the carbon content of the deposited film. The moisture resistance of the silicon oxide based films is enhanced.
    Type: Application
    Filed: August 22, 2002
    Publication date: March 20, 2003
    Applicant: Applied Materials, Inc.
    Inventors: Wai-Fan Yau, David Cheung, Nasreen Gazala Chopra, Yung-Cheng Lu, Robert Mandal, Farhad Moghadam
  • Patent number: 6531389
    Abstract: A method for forming a via through a dielectric layer. There is first provided a substrate. There is then formed over the substrate a patterned conductor layer. There is then formed covering the patterned conductor layer a dielectric layer. There is then formed through the dielectric layer a via to access the patterned conductor layer, where the via is incompletely landed upon the patterned conductor layer. There is then purged the via while employing a vacuum purging method to form a purged via. There is then passivated the purged via and passivated the patterned conductor layer exposed within the purged via while employing a plasma passivation method to form a plasma passivated purged via and a plasma passivated patterned conductor layer. Finally, there is then formed into the plasma passivated purged via a conductor stud layer.
    Type: Grant
    Filed: December 20, 1999
    Date of Patent: March 11, 2003
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Shau-Lin Shue, Mei-Yun Wang