Including Organic Insulating Material Between Metal Levels Patents (Class 438/623)
  • Publication number: 20040018716
    Abstract: A semiconductor device formed of an insulation layer having at least a laminated portion in which a first insulation film made of silicon oxide film and a second insulation film made of organic insulation film are laminated on each other, wherein said semiconductor device has a silicon oxide film structure in which moisture absorption is limited, said structure having characteristics showing that a ratio SI/SII of area integrations SI and SII of a desorption gas spectrum by ion current measurement of the temperature programmed desorption mass analysis measurement based on mass 18 relating to the laminated structure of the silicon oxide film and the organic insulation film and a single layer structure of the silicon oxide film is not less than 1 or not more than 1.5.
    Type: Application
    Filed: May 27, 2003
    Publication date: January 29, 2004
    Inventors: Hideyuki Kitou, Toshiaki Hasegawa
  • Patent number: 6680541
    Abstract: An intermetal insulating film containing at least silicon atoms, oxygen atoms and carbon atoms with the number ratio of oxygen atom to silicon atom being 1.5 or more and the number ratio of carbon atom to silicon atom being 1 to 2, and having a film thickness shrinkage at a time of oxidation of 14% or less is very low in dielectric constant, high in selectivity against resist etching and can be used without using a silicon oxide protective film in a semiconductor device.
    Type: Grant
    Filed: January 18, 2002
    Date of Patent: January 20, 2004
    Assignees: Hitachi, Ltd., Hitachi ULSI Systems Co., Ltd.
    Inventors: Takeshi Furusawa, Daisuke Ryuzaki, Noriyuki Sakuma, Shuntaro Machida, Kenji Hinode, Ryou Yoneyama
  • Patent number: 6677251
    Abstract: A method for forming a dielectric insulating layer with increased hydrophilicity for improving adhesion of an adjacently deposited material layer in semiconductor device manufacturing including providing a semiconductor wafer having a process surface for forming a dielectric insulting layer thereover; depositing the dielectric insulating layer; and, subjecting the dielectric insulating layer including an exposed surface to a hydrophilicity increasing treatment including at least one of a dry plasma treatment and a wet process including contacting the exposed surface with a hydrophilicity increasing solution including a surfactant said wet process followed by a baking process to improve an adhesion of an adjacently deposited material layer.
    Type: Grant
    Filed: July 29, 2002
    Date of Patent: January 13, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Hsin-Hsien Lu, Aaron Song, Tien-I Bao, Syun-Ming Jang
  • Patent number: 6677231
    Abstract: A first dielectric layer 310 is formed on a substrate, wherein the first dielectric layer is a low-K material of an organic polymer. An adhesion promoter is then deposited on the first dielectric layer by chemical vapor deposition to form a first interlayer, wherein the first adhesion promoter is an organic material that comprises a C—H group and a siloxane (Si—O), such as methyltriacetoxysilane (MTAS). Next, an inorganic layer is formed on the first interlayer. Then the adhesion promoter mentioned previously is deposited on the inorganic layer by chemical vapor deposition to form a second interlayer. Next, a second dielectric layer is formed on the second interlayer 340, wherein the second interlayer is a low-K material of an organic polymer. Finally, a baking process is performed.
    Type: Grant
    Filed: November 17, 2000
    Date of Patent: January 13, 2004
    Assignee: United Microelectronics Corp.
    Inventors: Cheng-Yuan Tsai, Chin-Hsiang Lin, Ming-Sheng Yang
  • Publication number: 20040005789
    Abstract: A fluorine-containing organic film having a relative dielectric constant of 4 or less is deposited on a semiconductor substrate using a material gas containing C5F8, C3F6, or C4F6 as a main component.
    Type: Application
    Filed: June 23, 2003
    Publication date: January 8, 2004
    Applicant: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.
    Inventors: Nobuhiro Jiwari, Shinichi Imai
  • Patent number: 6673698
    Abstract: A thin film semiconductor die circuit package is provided utilizing low dielectric constant (k) polymer material for the insulating layers of the metal interconnect structure. Five embodiments include utilizing glass, glass-metal composite, and glass/glass sandwiched substrates. The substrates form the base for mounting semiconductor dies and fabricating the thin film interconnect structure.
    Type: Grant
    Filed: January 19, 2002
    Date of Patent: January 6, 2004
    Assignee: Megic Corporation
    Inventors: Mou-Shiung Lin, Jin-Yuan Lee, Ching-Cheng Huang
  • Patent number: 6673725
    Abstract: The present invention relates to a semiconductor device manufacturing method for forming an interlayer insulating film having a low dielectric constant by coating a copper wiring. The low dielectric constant insulating film is formed by reaction of a plasma of a film-forming gas containing an oxygen-containing gas of N2O, H2O, or CO2, ammonia (NH3), and at least one of an alkyl compound having a siloxane bond and methylsilane (SiHn(CH3)4−n: n=0, 1, 2, 3).
    Type: Grant
    Filed: April 30, 2001
    Date of Patent: January 6, 2004
    Assignees: Canon Sales Co., Inc., Semiconductor Process Laboratory Co., Ltd.
    Inventors: Yoshimi Shioya, Kouichi Ohira, Kazuo Maeda
  • Publication number: 20040002207
    Abstract: A method for fabricating a region of low dielectric constant between metal layers of a substrate, such as an integrated circuit, that eliminate or minimize the problems associated with the existing and future low-k materials and processes. The method utilizes a sacrificial layer or an ultra low-k layer to form a major, but not entire, portion of the dielectric layer between the metal layers, using innovative integration schemes and CMP processes.
    Type: Application
    Filed: February 10, 2003
    Publication date: January 1, 2004
    Applicant: Cabot Microelectronics Corporation
    Inventor: Chris C. Yu
  • Patent number: 6670271
    Abstract: The present invention involves a method for fabricating interconnecting lines and vias. According to the invention, copper is grown from a seed layer to substantially fill openings in a two layer structure wherein the two layers are independently either dielectric or resist layers. According to one aspect of the invention, first and second resist layers are formed into a dual damascene structure. Copper is grown by plating from the copper seed layer to form copper features that fill the pattern gaps.
    Type: Grant
    Filed: January 17, 2002
    Date of Patent: December 30, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ramkumar Subramanian, Michael K. Templeton, Bhanwar Singh, Bharath Rangarajan
  • Publication number: 20030235979
    Abstract: A wiring groove is formed in an insulating film, and then a reformed layer is formed in the vicinity of the wiring groove in the insulating film. Thereafter, a conductive film is buried in the wiring groove, thereby forming a wire. Subsequently, the reformed layer is removed to form a slit, and then a low-dielectric-constant film having a relative dielectric constant lower than the insulating film is buried in the slit.
    Type: Application
    Filed: June 12, 2003
    Publication date: December 25, 2003
    Applicant: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.
    Inventor: Hiroshi Yuasa
  • Patent number: 6667553
    Abstract: This invention pertains to a method for producing hydrogenated silicon oxycarbide (H:SiOC) films having low dielectric constant and a light transmittance of 95% or more for light with a wavelength in the range of 400 nm to 800 nm. The method comprises reacting a methyl-containing silane in a controlled oxygen environment using plasma enhanced or ozone assisted chemical vapor deposition to produce the films. Because of the transmittance the resulting films are useful in the formation of display devices.
    Type: Grant
    Filed: November 21, 2001
    Date of Patent: December 23, 2003
    Assignee: Dow Corning Corporation
    Inventors: Glenn Allen Cerny, Byung Keun Hwang, Mark Jon Loboda
  • Patent number: 6667215
    Abstract: A method for making transistors comprises depositing source electrode and drain electrode features onto a substrate through a single aperture in a stationary shadow mask, said aperture having at least two opposing edges; wherein the shapes of the features are defined by the aperture and location of source materials in relation to the substrate.
    Type: Grant
    Filed: May 2, 2002
    Date of Patent: December 23, 2003
    Assignee: 3M Innovative Properties
    Inventors: Steven D. Theiss, Paul F. Baude, Michael A. Haase, Silva K. Theiss
  • Publication number: 20030232495
    Abstract: One embodiment of the present invention is a method for fabricating a low-k dielectric film that includes steps of: (a) chemical vapor depositing a lower-k dielectric film; and (b) e-beam treating the lower-k dielectric film.
    Type: Application
    Filed: May 1, 2003
    Publication date: December 18, 2003
    Inventors: Farhad Moghadam, Jun Zhao, Timothy Weidman, Rick J. Roberts, Li-Qun Xia, Alexandros T. Demos
  • Publication number: 20030228750
    Abstract: A method for improving adhesion of a low k dielectric to a barrier layer. A substrate covered by an insulating layer having copper interconnects is provided. A sealing layer is formed on the copper interconnects and the insulating layer. A plasma treatment is performed on the sealing layer by a reaction gas including at least one of CO2, NH3, NO2, SiH4, trimethylsilane, and tetramethylsilane. A low k dielectric layer is formed on the sealing layer.
    Type: Application
    Filed: June 7, 2002
    Publication date: December 11, 2003
    Inventors: Shyh-Dar Lee, Chen-Chiu Hsue
  • Patent number: 6660663
    Abstract: A method and apparatus for depositing a low dielectric constant film by reaction of an organosilane or organosiloxane compound and an oxidizing gas at a low RF power level from 10-250 W. The oxidized organosilane or organosiloxane film has good barrier properties for use as a liner or cap layer adjacent other dielectric layers. The oxidized organosilane or organosiloxane film may also be used as an etch stop or an intermetal dielectric layer for fabricating dual damascene structures. The oxidized organosilane or organosiloxane films also provide excellent adhesion between different dielectric layers. A preferred oxidized organosilane film is produced by reaction of methylsilane, CH3SiH3, or dimethylsilane, (CH3)2SiH2, and nitrous oxide, N2O, at an RF power level from about 10 to 200 W or a pulsed RF power level from about 20 to 250 W during 10-30% of the duty cycle.
    Type: Grant
    Filed: May 25, 2000
    Date of Patent: December 9, 2003
    Assignee: Applied Materials Inc.
    Inventors: David Cheung, Wai-Fan Yau, Robert R. Mandal
  • Patent number: 6656284
    Abstract: Disclosed is a semiconductor device manufacturing apparatus provided with a rotational gas injector for supplying source gases at an upper portion of a reaction chamber. According to the invention, source gases are injected from the upside of the wafers through the rotational type gas injector, and non-reacted gases are exhausted into the downside space of the wafers, so that lowering in the thickness uniformity of a thin film due to the horizontal flow of source gases provided in the conventional art decrease remarkably. Accordingly, although multiple wafers are loaded in a single reaction chamber, a thin film having very high thickness uniformity can be deposited with respect to all the wafers, thereby capable of enhancing the productivity.
    Type: Grant
    Filed: June 28, 2002
    Date of Patent: December 2, 2003
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Chul Ju Hwang, Kyung Sik Shim, Chang Soo Park
  • Publication number: 20030219972
    Abstract: A method of forming an annealed high-K metal oxide transistor gate structure is disclosed. A metal oxide layer is formed over a semiconductor substrate. The metal oxide layer undergoes a buffered annealed process in an oxygen atmosphere to anneal the metal oxide layer at or below the thermodynamic chemical equilibrium of SiO/SiO2 and at or above the thermodynamic chemical equilibrium of the metal oxide layer.
    Type: Application
    Filed: May 22, 2002
    Publication date: November 27, 2003
    Inventors: Martin L. Green, Glen D. Wilk
  • Publication number: 20030218253
    Abstract: A precursor of a low-k porous dielectric is applied to an integrated circuit substrate. The precursor comprises a host thermosetting material and a porogen. Crosslinking of at least some of the first host thermosetting material is produced to form a low-k dielectric matrix without decomposing all of the porogen. This leaves a solid nonporous layer of the low-k dielectric matrix. Wiring elements are then inlaid in the low-k dielectric matrix. After the wiring elements are formed, remaining porogen is decomposed to leave pores in the low-k dielectric matrix. The resulting wiring elements are smooth walled.
    Type: Application
    Filed: December 13, 2001
    Publication date: November 27, 2003
    Inventors: Steven C. Avanzino, Darrell M. Erb, Fei Wang, Sergey Lopatin
  • Patent number: 6653734
    Abstract: Two-step process to improve low-K dielectric etch uniformity, apparatus to perform the method, and semiconductor devices formed in accordance with the method. In a first etching step, an insulating hot edge ring is provided. When the photoresist clearing signal is observed using end-point software, the insulating cover is moved aside to expose the conductive edge ring for the remainder of the etch. One aspect of this invention contemplates an insulator cover over a conductive edge ring at the start of wafer etching, which cover is removed after end-pint detection. The present invention contemplates a number of physical configurations whereby the insulator ring is urged into, and away from, its masking of the conductive edge ring. Alternatively, the etching of a wafer bearing low-K material may be conducted using two edge rings, where the first etch step is conducted using an insulating hot edge ring, and a second etch step is conducted using a conductive hot edge ring.
    Type: Grant
    Filed: January 30, 2002
    Date of Patent: November 25, 2003
    Assignee: Lam Research Corporation
    Inventors: Janet M. Flanner, Susan Ellingboe, Christine Janowiak, John Lang, Ian J. Morey
  • Patent number: 6653232
    Abstract: A method of manufacturing wiring includes a step of forming a conductive layer pattern through a developing step after performing film formation and exposure step using photosensitive paste that contains a photosensitive material and a conductive material, a step of forming an insulating layer pattern through a developing step after performing film formation and exposure step using photosensitive paste that contains a photosensitive material and an insulating material, and a baking step for baking the conductive layer pattern and the insulating layer pattern. Thus, a wiring pattern can be formed with high precision by reducing an edge curl.
    Type: Grant
    Filed: July 31, 2002
    Date of Patent: November 25, 2003
    Assignee: Canon Kabushiki Kaisha
    Inventors: Yoshimi Uda, Kazuya Ishiwata
  • Publication number: 20030216027
    Abstract: The present invention relates to a method of forming an insulating film in a semiconductor device. After a mixed gas of alkyl silane gas and N2O gas is supplied into the deposition equipment, a radio frequency power including a short pulse wave for causing incomplete reaction upon a gas phase reaction is applied to generate nano particle. The nano particle is then reacted to oxygen radical to form the insulating film including a plurality of nano voids. A low-dielectric insulating film that can be applied to the nano technology even in the existing LECVD equipment is formed.
    Type: Application
    Filed: December 5, 2002
    Publication date: November 20, 2003
    Inventors: Choon Kun Ryu, Tae Kyung Kim
  • Publication number: 20030216058
    Abstract: The present invention relates to low dielectric materials essential for a semiconductor having high density and high performance of the next generation, particularly to a process for preparing a porous interlayer insulating film having low dielectric constant containing pores with a size of a few nanometers or less.
    Type: Application
    Filed: May 28, 2003
    Publication date: November 20, 2003
    Applicant: LG Chem Investment, Ltd., a Korea corporation
    Inventors: Min-Jin Ko, Hye-Yeong Nam, Dong-Seok Shin, Myung-Sun Moon, Jung-Won Kang
  • Patent number: 6649512
    Abstract: A method for improving adhesion of a low k dielectric to a barrier layer. A substrate covered by an insulating layer having copper interconnects is provided. A sealing layer is formed on the copper interconnects and the insulating layer. A plasma treatment is performed on the sealing layer by a reaction gas including at least one of CO2, NH3, NO2, SiH4, trimethylsilane, and tetramethylsilane. A low k dielectric layer is formed on the sealing layer.
    Type: Grant
    Filed: June 7, 2002
    Date of Patent: November 18, 2003
    Assignee: Silicon Integrated Systems Corp.
    Inventors: Shyh-Dar Lee, Chen-Chiu Hsue
  • Patent number: 6645848
    Abstract: This invention relates to a method of improving the fabrication of etched semiconductor devices by using a patterned adhesion promoter layer over a hydrocarbon planarization material. More specifically, the present invention improves the bonding of a metal interconnect layer to a hydrocarbon planarization material, such as polyimide, by inserting an adhesion promotion layer, such as silicon nitride, between the hydrocarbon planarization material and the metal interconnect layer. A process for improving the fabrication of etched semiconductor devices, comprises the steps of: (1) depositing a hydrocarbon planarization material over a substrate; (2) depositing an adhesion promoter over the hydrocarbon planarization material; (3) defining a first mask and etching back the adhesion promoter so as to form an adhesion promoter pad over a portion of the hydrocarbon planarization material; and (4) depositing a first metal over the adhesion promoter pad.
    Type: Grant
    Filed: June 1, 2001
    Date of Patent: November 11, 2003
    Assignee: Emcore Corporation
    Inventors: John R. Joseph, Wenlin Luo, Kevin L. Lear, Robert P. Bryan
  • Patent number: 6646350
    Abstract: In order to realize a semiconductor device and a manufacturing method thereof which can keep with a high reliability an electric connection between each of bump pads formed on LSI chips and each of electrode pads formed on an interconnection substrate, within an guaranteed temperature range, a thermal expansion coefficient of an adhesive (3) is in the range of 20 to 60 ppm, and an elastic modulus of a build-up portion (6) is in the range of 5 to 10 GPa. Further, the build-up portion (6) is constituted by a multi-layer build-up substrate in which buid-up portion a peak value (a glass transition temperature) of a loss coefficient exists within a range of 100° C. to 250° C. and does not exist within a range of 0° C. to 100° C.
    Type: Grant
    Filed: August 3, 2001
    Date of Patent: November 11, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Naotaka Tanaka, Hideo Miura, Yoshiyuki Kado, Ikuo Yoshida, Takahiro Naito
  • Patent number: 6645857
    Abstract: A method of forming an electrically conductive via that abuts a key hole formed in filler material. A void is etched through the filler material in which the key hole is formed, thereby forming a link between the void and the key hole. A liner is formed within the void, where the liner is formed to a thickness that is at least about half a minimum cross sectional dimension of the key hole, so as to plug the link between the void and the key hole and thereby trap any contaminants within the key hole. Electrically conductive via material is deposited within the void to form the via.
    Type: Grant
    Filed: July 22, 2002
    Date of Patent: November 11, 2003
    Assignee: LSI Logic Corporation
    Inventors: Bruce J. Whitefield, Ashwin Ramachandran
  • Patent number: 6645850
    Abstract: A method creates structured cavities with submicrometer dimensions in a cavity layer of a semiconductor device. A processing material that incorporates a swelling agent is deposited on ridges of a working layer that is constructed of ridges and trenches. The processing material expands over the trenches during swelling; and covered cavities thus emerge from the trenches.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: November 11, 2003
    Assignee: Infineon Technologies AG
    Inventors: Rainer Leuschner, Egon Mergenthaler
  • Publication number: 20030207559
    Abstract: Application of an adhesion promoter to a cap layer and oxidation of the adhesion promoter prior to deposition of an organic interlevel dielectric thereon reduces via resistance problems during thermal cycles of semiconductor wafers embodying multiple levels of metal and organic interlevel dielectrics.
    Type: Application
    Filed: May 1, 2002
    Publication date: November 6, 2003
    Applicants: INTERNATIONAL BUSINESS MACHINES CORPORATION, Infineon Technologies North America Corp., United Microelectronics Co.
    Inventors: Darryl Restaino, Shahab Siddiqui, Erdem Kaltalioglu, Delores Bennett, C. C. Liu, Hsueh-Chung Chen, Tong-Yu Chen, Gwo-Shii Yang, Chiung-Sheng Hsiung
  • Publication number: 20030207595
    Abstract: A process for fabricating an integrated semiconductor device with a low dielectric constant material and an integrated semiconductor device with the low dielectric constant material interposed between two conductors is disclosed. The low dielectric constant material has a dielectric constant of less than about 2.8. The low dielectric constant material is a porous glass material with an average pore size of less than about 10 nm. The low dielectric constant material is formed on a semiconductor substrate with circuit lines thereover by combining an uncured and unmodified glass resin with an amphiphilic block copolymer. The amphiphilic block copolymer is miscible in the uncured glass resin. The mixture is applied onto the semiconductor substrate and the glass resin is cured. The glass resin is further processed to decompose or otherwise remove residual block copolymer from the cured glass resin.
    Type: Application
    Filed: May 9, 2003
    Publication date: November 6, 2003
    Inventors: Omkaram Ralamasu, Chien-Shing Pai, Elsa Reichmanis, Shu Yang
  • Publication number: 20030201539
    Abstract: A plurality of wiring layers are laminated on an LSI chip. Each wiring layer includes an electrode to which is applied a mechanical pressure, a first insulating film formed in a region where it is necessary to have a high mechanical strength and having the electrode formed therein, a second insulating film formed in the same layer as the layer of the first insulating film and formed in a region where a mechanical strength higher than that of the first insulating layer is not required, and a wiring layer formed on the surface of the second insulating film.
    Type: Application
    Filed: April 7, 2003
    Publication date: October 30, 2003
    Inventors: Noriaki Matsunaga, Yoshiaki Shimooka, Kazuyuki Higashi, Hideki Shibata
  • Publication number: 20030203652
    Abstract: A method for forming a dielectric insulating layer with a reduced dielectric constant and increased hardness for semiconductor device manufacturing including providing a semiconductor wafer having a process surface for forming a dielectric insulting layer thereover; depositing according to a CVD process a carbon doped oxide layer the CVD process including an organo-silane precursor having Si—O groups and Si—Ry groups, where R is an alkyl or cyclo-alkyl group and y the number of R groups bonded to Si; and, exposing the carbon doped oxide layer to a hydrogen plasma treatment for a period of time thereby reducing the carbon doped oxide layer thickness including reducing the carbon doped oxide layer dielectric constant and increasing the carbon doped oxide layer hardness.
    Type: Application
    Filed: April 25, 2002
    Publication date: October 30, 2003
    Inventors: Tien-I Bao, Chung-Chi Ko, Lih-Ping Li, Syun-Ming Jang
  • Patent number: 6638851
    Abstract: Process of making a semiconductor using dual inorganic hardmask in single damascene process integration scheme in an organic low k interlayer dielectric (ILD) by: providing semiconductor substrate; depositing organic low k ILD layer on substrate; forming hardmask 1 on organic low k ILD layer and forming sacrificial hardmask 2 on hardmask 1; forming a patterned photoresist layer on sacrificial hardmask 2; etching selective to sacrificial hardmask 2 and stripping photoresist; etching of hardmask 1 in which the etch is selective to the organic low k ILD layer; depositing a liner or conformal barrier layer over the substrate, organic low k ILD layer, hardmask 1 and hardmask 2; forming a plated metal layer over the liner or conformal barrier layer; and removing metal layer and removing liner with simultaneous removal of sacrificial hardmask 2 so that facets in sacrificial hardmask 2 are removed during liner/sacrificial hardmask 2 removal.
    Type: Grant
    Filed: May 1, 2001
    Date of Patent: October 28, 2003
    Assignee: Infineon Technologies North America Corp.
    Inventors: Andy Cowley, Erdem Kaltalioglu, Michael Stetter
  • Patent number: 6638358
    Abstract: The present invention is a method and system for processing a semiconductor device, the semiconductor device comprising at least two gate stacks and a spacer gap. The method and system comprise utilizing a spin-on technique at the transistor device level to provide an oxide spacer in the spacer gap and then curing the semiconductor device at a temperature above approximately 450° C. Through the use of a system/method in accordance with the present invention, the voids that are created in the spacer gaps during conventional semiconductor processing are eliminated. Furthermore, the oxide spacers posses the high quality characteristics that are typically provided through the use of the conventional CVD methodology. Accordingly, as a result of the use of the system/method in accordance with the present invention, the MOSFET oxide spacers are strengthened, which increases the reliability of the semiconductor device.
    Type: Grant
    Filed: January 13, 2000
    Date of Patent: October 28, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Lu You, Mark S. Chang, Hao Fang
  • Patent number: 6635586
    Abstract: A method of forming a SOG insulation layer of a semiconductor device comprises forming the SOG insulation layer on a substrate having a stepped pattern by using a polysilazane in a solution state, performing a pre-bake process for removing solvent elements of the insulation layer at a temperature of 50 to 350° C., performing a hard bake process for restraining particles from forming at a temperature of 350 to 500° C., and annealing at a temperature of 600 to 1200° C. The method of the invention further includes planarizing the insulation layer between the hard bake process and the annealing step. Also, the hard bake process can be omitted.
    Type: Grant
    Filed: October 15, 2001
    Date of Patent: October 21, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ju-Seon Goo, Eun-Kee Hong, Hong-Gun Kim, Jin-Gi Hong
  • Patent number: 6632735
    Abstract: A method of forming a carbon-doped silicon oxide layer is disclosed. The carbon-doped silicon oxide layer is formed by applying an electric field to a gas mixture comprising an organosilane compound and an oxidizing gas. The carbon-doped silicon oxide layer is compatible with integrated circuit fabrication processes. In one integrated circuit fabrication process, the carbon-doped silicon oxide layer is used as an intermetal dielectric layer. In another integrated circuit fabrication process, the carbon-doped silicon oxide layer is incorporated into a damascene structure.
    Type: Grant
    Filed: August 7, 2001
    Date of Patent: October 14, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Wai-Fan Yau, Ju-Hyung Lee, Nasreen Gazala Chopra, Tzu-Fang Huang, David Cheung, Farhad Moghadam, Kuo-Wei Liu, Yung-Cheng Lu, Ralf B. Willecke, Paul Matthews, Dian Sugiarto
  • Patent number: 6634013
    Abstract: The present invention provides a wiring failure analysis method that overcomes difficulties due to shape changes of wires in LSI circuits and the like by effecting current and heat transfer analysis as well as analysis of diffusion of atoms in crystal grain structures. Particularly, the wiring failure analysis method is designed to apply void shape analysis on reservoir portions of aluminum alloy wires coupled with tungsten (W) plugs. First, a structure of a wire to be simulated is created to solve its background field (temperature and current densities) in accordance with the finite element method. Then, diffusion analysis is performed using electron wind power, which is proportional to the current densities, and diffusion coefficients regarding parameters of the crystal grain structure such as the crystal lattice, grain boundary, interface and surface, on which vacancy concentrations are calculated.
    Type: Grant
    Filed: June 1, 2001
    Date of Patent: October 14, 2003
    Assignee: NEC Electronics Corporation
    Inventor: Tsutomu Shinzawa
  • Patent number: 6630395
    Abstract: Low-k dieclectric materials have desirable insulating characteristics for use in insulating sub micron conductors in semiconductor devices. However, certain physical and material characteristics of the low-k dielectric materials make them difficult to work with. More particularly, the soft, porous, leakage-prone characteristics of low-k materials makes it difficult to accommodate electrical contacts for electrical probing to conductors covered by such materials. The present invention provides methods and structures for facilitating the electrical probing of semiconductor device conductors insulated by overlying low-k layers of dielectric material.
    Type: Grant
    Filed: October 24, 2002
    Date of Patent: October 7, 2003
    Assignee: International Business Machines Corporation
    Inventors: Terence Lawrence Kane, Michael P. Tenney
  • Patent number: 6627533
    Abstract: A method of manufacturing an insulating film in a semiconductor device is disclosed. The method comprises the steps of forming a SOD film on the entire structure to fill any distance between conductive layer patterns and after performing a curing process, forming a hard mask film on the SOD film, wherein the silicon oxide film is deposited by plasma deposition method using SiH4 and N2O as a reaction gas at a low-temperature and at a low-pressure and wherein in a stabilization step, the supply amount of SiH4 is greater than that of N2O and in a deposition step, the supply amount of N2O is greater than that of SiH4.
    Type: Grant
    Filed: June 13, 2001
    Date of Patent: September 30, 2003
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Sang Tae Ahn, Jung Gyu Song
  • Patent number: 6627540
    Abstract: A method for forming a dual damascene structure in a semiconductor device, which is capable of preventing defects in node segregation between damascene interconnections and reducing parasitic capacitance, is provided. The method includes sequentially depositing an insulating structure layer including a via level insulating layer and a trench level insulating layer and a hard mask layer on a semiconductor substrate on which an underlying layer including a contact plug is formed, forming a via hole on the via level insulating layer using the hard mask layer, add forming a trench connected to the via hole in the insulating structure layer using the hard mask layer. A predetermined upper portion of the insulating structure layer and the hard mask layer are removed when the trench and the via hole are formed.
    Type: Grant
    Filed: September 3, 2002
    Date of Patent: September 30, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Kyoung-woo Lee
  • Patent number: 6627532
    Abstract: A method for processing a substrate comprising depositing a dielectric layer comprising silicon, oxygen, and carbon on the substrate by chemical vapor deposition, wherein the dielectric layer has a carbon content of at least 1% by atomic weight and a dielectric constant of less than about 3, and depositing a silicon and carbon containing layer on the dielectric layer. The dielectric constant of a dielectric layer deposited by reaction of an organosilicon compound having three or more methyl groups is significantly reduced by further depositing an amorphous hydrogenated silicon carbide layer by reaction of an alkylsilane in a plasma of a relatively inert gas.
    Type: Grant
    Filed: October 5, 2000
    Date of Patent: September 30, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Frederic Gaillard, Li-Qun Xia, Tian-Hoe Lim, Ellie Yieh, Wai-Fan Yau, Shin-Puu Jeng, Kuowei Liu, Yung-Cheng Lu
  • Patent number: 6627539
    Abstract: Interconnects in sub-micron and sub-half-micron integrated circuit devices are fabricated using a dual damascene process incorporating a low-k dielectric. A dual-damascene structure can be implemented without the necessity of building a single damascene base, and without CMP of the low-k dielectric. This structure simplifies the manufacturing process, reduces cost, and effectively reduces intra-level and inter-level capacitance, resistivity, and noise related to substrate coupling. In accordance with a further aspect of the present invention, a modified silicon oxide material such as silsesquioxane is used for the low-k dielectric in conjunction with silicon dioxide cap layers, allowing an improved process window and simplifying the etching process.
    Type: Grant
    Filed: September 9, 1998
    Date of Patent: September 30, 2003
    Assignee: Newport Fab, LLC
    Inventors: Bin Zhao, Maureen R. Brongo
  • Patent number: 6624061
    Abstract: In a semiconductor device, a wiring line layer is formed on a substrate. A dielectric constant film is formed on the wiring line layer. An upper protection film is formed on an entire portion of the dielectric constant film. An opening portion is formed through the upper protection film and the dielectric constant film to the wiring line layer. A conductor buried portion formed into the opening portion. The dielectric constant film has a smaller dielectric constant value than those of a silicon oxide film and silicon nitride film. Also, a side protection film may be formed on all side portions of the opening portion.
    Type: Grant
    Filed: May 14, 1999
    Date of Patent: September 23, 2003
    Assignee: NEC Electronics Corporation
    Inventor: Hidemitsu Aoki
  • Patent number: 6624063
    Abstract: A semiconductor device including a semiconductor substrate, an insulating layer formed on the substrate, a dielectric organic layer formed on the insulating layer and having a dielectric constant of not more than 3.0, and an interconnection layer in contact with the insulating layer in the dielectric organic layer, wherein the upper surface of the interconnection layer is formed higher than the upper surface of the dielectric organic layer, and a method of manufacture thereof.
    Type: Grant
    Filed: December 27, 2001
    Date of Patent: September 23, 2003
    Assignee: Sony Corporation
    Inventors: Toshiaki Hasegawa, Hajime Nakayama
  • Patent number: 6624062
    Abstract: A method for forming a wiring structure in a semiconductor device, comprising the steps of: (a) forming an insulating layer of a low dielectric constant material having a relative dielectric constant of 3.5 or less and a pyrolysis initiation temperature of 400° C. or lower on a substratum and then forming an opening portion and/or a trench portion in the insulating layer, (b) forming a barrier metal layer on the insulating layer including an inside of the opening portion and/or the trench portion, (c) forming a thin layer on the barrier metal layer, and (d) forming a refractory metal layer on the thin layer to fill the inside of the opening portion and/or trench portion with the refractory metal layer, the thin layer being composed of a metal or a metal compound which is less easily oxidizable than a material constituting the barrier metal layer.
    Type: Grant
    Filed: November 27, 2001
    Date of Patent: September 23, 2003
    Assignee: Sony Corporation
    Inventor: Takaaki Miyamoto
  • Publication number: 20030168746
    Abstract: A plurality of gate lines are formed on a substrate. After depositing a gate insulating layer, a semiconductor layer and a doped amorphous silicon layer are sequentially formed thereon. A lower insulating layer made of silicon nitride and an upper insulating layer made of a photosensitive organic material are deposited thereon after forming data lines and drain electrodes. The upper insulating layer is patterned to form an unevenness pattern on its surface and contact holes on the drain electrodes. The lower insulating layer is patterned together with the gate insulating layer using a photoresist pattern having apertures located in the contact holes to form other contact holes respectively exposing the drain electrodes, portions of the gate lines, and portions of the data lines.
    Type: Application
    Filed: January 13, 2003
    Publication date: September 11, 2003
    Applicant: Samsung Electronics Co., Ltd.
    Inventor: Chun-Gi You
  • Publication number: 20030170974
    Abstract: A semiconductor device having conductive paths separated by cavities is formed by depositing organic spin-on glass between the conductive paths, forming gaps between the organic spin-on glass and the conductive paths, and then removing the organic spin-on glass through the gaps. The gaps may be formed as a dummy pattern of via holes that are misaligned with the conductive paths, so that they extend past the upper surfaces of the conductive paths and form fine slits beside the conductive paths. This method of removing the spin-on glass leaves cavities that are free of unwanted oxide residue and debris, thereby minimizing the capacitive coupling between adjacent conductive paths.
    Type: Application
    Filed: January 10, 2003
    Publication date: September 11, 2003
    Inventor: Toyokazu Sakata
  • Patent number: 6617239
    Abstract: A subtractive metallization structure with a plurality of low dielectric constant insulating layers acting as etch stops is disclosed. The selected low dielectric constant materials have similar methods of formation and similar capacities to withstand physical and thermal stress. In addition, the etchant used for each low dielectric constant insulating layer has a very small etching rate relative to the other low dielectric constant insulating layers.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: September 9, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Paul A. Farrar
  • Patent number: 6617240
    Abstract: A method of fabricating a semiconductor device capable of attaining an excellent embedding characteristic also when an opening has a small diameter is obtained. According to this method of fabricating a semiconductor device, an interlayer dielectric film having an opening is formed. A first conductive member is formed in the opening by sputtering. In advance of formation of the first conductive member, first heat treatment is performed at a temperature capable of reducing the quantity of moisture and hydroxyl groups in the interlayer dielectric film. Thus, the interlayer dielectric film has a small quantity of moisture and hydroxyl groups when the first conductive member is embedded in the opening, whereby the embedding characteristic of the first conductive member is improved. Consequently, electric characteristics of a contact part can be improved also when the opening has a small diameter.
    Type: Grant
    Filed: December 27, 2000
    Date of Patent: September 9, 2003
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Yasunori Inoue, Naoteru Matsubara, Hidetaka Nishimura, Hideki Mizuhara
  • Patent number: 6617243
    Abstract: Aspects for routing in multilayer ceramic substrates that reduces via depth and avoids via bulge are described. The aspects include providing a multilayer ceramic substrate with at least two redistribution layers. Vias for each of a plurality of signal lines are jogged on at least a second redistribution layer of the at least two redistribution layers. Further, the aspects include providing the second redistribution layer no more than seven layers deep in the multilayer ceramic substrate.
    Type: Grant
    Filed: August 10, 2000
    Date of Patent: September 9, 2003
    Assignee: International Business Machines Corporation
    Inventor: Roger D. Weekly
  • Patent number: 6610593
    Abstract: A method of forming an air gap or gaps within solid structures and specifically semiconductor structures to reduce capacitive coupling between electrical elements such as metal lines, wherein a sacrificial material is used to occupy a closed interior volume in a semiconductor structure is disclosed. The sacrificial material is caused to decompose into one or more gaseous decomposition products which are removed, in one embodiment by diffusion, through an overcoat layer. The decomposition of the sacrificial material leaves an air gap or gaps at the closed interior volume previously occupied by the sacrificial material. The air gaps may be disposed between electrical leads to minimize capacitive coupling therebetween. Also disclosed are methods of forming multi-level air gaps and methods or forming over-coated conductive lines or leads wherein a portion of the overcoating is in contact with at least one air gap.
    Type: Grant
    Filed: August 31, 2001
    Date of Patent: August 26, 2003
    Assignee: Georgia Tech Research Corporation
    Inventors: Paul Albert Kohl, Sue Ann Bidstrup Allen, Clifford Lee Henderson, Hollie Anne Reed, Dhananjay M. Bhusari