Including Organic Insulating Material Between Metal Levels Patents (Class 438/623)
  • Patent number: 6939738
    Abstract: A component built-in module including a core layer formed of an electric insulating material, and an electric insulating layer and a plurality of wiring patterns, which are formed on at least one surface of the core layer. The electric insulating material of the core layer is formed of a mixture including at least an inorganic filler and a thermosetting resin. At least one or more of active components and/or passive components are contained in an internal portion of the core layer. The core layer has a plurality of wiring patterns and a plurality of inner vias formed of a conductive resin. The electric insulating material formed of the mixture including at least an inorganic filler and a thermosetting resin of the core layer has a modulus of elasticity at room temperature in the range from 0.6 GPa to 10 GPa. Thus, it is possible to provide a thermal conductive component built-in module capable of filling the inorganic filler with high density; burying the active component such as a semiconductor etc.
    Type: Grant
    Filed: February 13, 2004
    Date of Patent: September 6, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Seiichi Nakatani, Yasuhiro Sugaya, Toshiyuki Asahi, Shingo Komatsu
  • Patent number: 6936533
    Abstract: A method of fabricating a semiconductor device having a low dielectric constant is disclosed. According to the method, a silicon oxycarbide layer is formed, treated with plasma, and patterned. The silicon oxycarbide layer is formed by a coating method or a CVD method such as a PECVD method. Treating the silicon oxycarbide layer with plasma is performed by supplying at least one gas selected from a group of He, H2, N2O, NH3, N2, O2 and Ar. It is desirable that plasma be applied at the silicon oxycarbide layer in a PECVD device by an in situ method after forming the silicon oxycarbide layer. In a case in which a capping layer is further stacked and patterned, it is desirable to treat with H2-plasma. Even in a case in which an interlayer insulation is formed of the silicon oxycarbide layer and a coating layer of an organic polymer group for a dual damascene process, it is desirable to perform the plasma treatment before forming the coating layer.
    Type: Grant
    Filed: November 27, 2001
    Date of Patent: August 30, 2005
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Jae-Hak Kim, Hong-Jae Shin, Soo-Geun Lee, Kyoung-Woo Lee
  • Patent number: 6927180
    Abstract: By exposing dielectrics to a strong electric field, anisotropic characteristics may be introduced into the dielectric. This may result in the dielectric having different dielectric constants in different directions. As integrated circuits scale, importance of line to line capacitance in one plane increases. Thus, in some embodiments, the dielectric constant of the oriented dielectric may be lower in the plane that controls line to line capacitance.
    Type: Grant
    Filed: November 27, 2002
    Date of Patent: August 9, 2005
    Assignee: Intel Corporation
    Inventors: Kevin O'Brien, David Gracias
  • Patent number: 6924222
    Abstract: An inter-layer dielectric structure and method of making such structure are disclosed. A composite dielectric layer comprising a porous matrix, as well as a porogen in certain variations, is formed adjacent a sacrificial dielectric layer. Subsequent to other processing treatments, a portion of the sacrificial dielectric layer is decomposed and removed through a portion of the porous matrix using supercritical carbon dioxide leaving voids in positions previously occupied by portions of the sacrificial dielectric layer. The resultant structure has a desirably low k value as a result of the voids and materials comprising the porous matrix and other structures. The composite dielectric layer may be used in concert with other dielectric layers of varying porosity, dimensions, and material properties to provide varied mechanical and electrical performance profiles.
    Type: Grant
    Filed: November 21, 2002
    Date of Patent: August 2, 2005
    Assignee: Intel Corporation
    Inventors: Michael D. Goodner, Jihperng Leu
  • Patent number: 6924545
    Abstract: A low-dielectric-constant interlayer insulating film, which is composed of at least one selected from the group consisting of: (i) a low-dielectric-constant borazine-silicon-based polymer substance obtainable by reaction of, in the presence of a platinum catalyst, B,B?,B?-triethynyl-N,N?,N?-trimethylborazine with a specific silicon compound having at least two hydrosilyl groups; and (ii) a low-dielectric-constant borazine-silicon-based polymer substance obtainable by reaction of, in the presence of a platinum catalyst, B,B?,B?-triethynyl-N,N?,N?-trimethylborazine with a specific cyclic silicon compound having at least two hydrosilyl groups. A semiconductor device, which has the low-dielectric-constant interlayer insulating film. A low-refractive-index material, which is composed of the polymer substance (i) and/or (ii).
    Type: Grant
    Filed: March 11, 2002
    Date of Patent: August 2, 2005
    Assignees: National Institute of Advanced Industrial Science, Technology Mitsubishi Denki Kabushiki Kaisha
    Inventors: Yuko Uchimaru, Masami Inoue
  • Patent number: 6919266
    Abstract: A copper damascene structure formed by direct patterning of a low-dielectric constant material is disclosed. The copper damascene structure includes a tungsten nitride barrier layer formed by atomic layer deposition using sequential deposition reactions. Copper is selectively deposited by a CVD process and/or by an electroless deposition technique.
    Type: Grant
    Filed: July 24, 2001
    Date of Patent: July 19, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 6913992
    Abstract: Methods are provided for processing a substrate for depositing an adhesion layer having a low dielectric constant between two low k dielectric layers. In one aspect, the invention provides a method for processing a substrate including depositing a barrier layer on the substrate, wherein the barrier layer comprises silicon and carbon and has a dielectric constant less than 4, depositing a dielectric initiation layer adjacent the barrier layer, and depositing a first dielectric layer adjacent the dielectric initiation layer, wherein the dielectric layer comprises silicon, oxygen, and carbon and has a dielectric constant of about 3 or less.
    Type: Grant
    Filed: March 7, 2003
    Date of Patent: July 5, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Francimar Campana Schmitt, Li-Qun Xia, Son Van Nguyen, Shankar Venkataraman
  • Patent number: 6908846
    Abstract: A method for controlling a plasma etch process while etching a layer stack having a first layer disposed above an end-point generating layer is disclosed. The method includes etching through the first layer and at least partially through the end-point generating layer while monitoring an absorption rate of a light beam traversing an interior portion of the plasma processing chamber, wherein the end-point generating layer is selected from a material that produces a detectable change in the absorption rate when etched. The end-point generating layer is characterized by at least one of a first characteristic and a second characteristic. The first characteristic is an insufficient thickness to function as an etch stop layer, and the second characteristic is an insufficient selectivity to etchants employed to etch through the first layer to function as the etch stop layer. The method additionally includes generating an end-point signal upon detecting the detectable change.
    Type: Grant
    Filed: March 27, 2003
    Date of Patent: June 21, 2005
    Assignee: Lam Research Corporation
    Inventors: Brian K. McMillin, Eric Hudson, Jeffrey Marks
  • Patent number: 6908844
    Abstract: The present invention provides a metallization arrangement for a semiconductor structure (1) having a first substructure plane (M1), preferably a first metallization plane; a second metallization plane (M2) having a first and a second adjacent interconnect (LBA; LBB); a first intermediate dielectric (ILD1) for mutual electrical insulation of the first substructure plane (M1) and second metallization plane (M2); and via holes (V) filled with a conductive material (FM) in the intermediate dielectric (ILD1) for connecting the first substructure plane (M1) and second metallization plane (M2). A liner layer (L) made of a dielectric material is provided under the second metallization plane (M2), which liner layer is interrupted in the interspace (O) between the first and second adjacent interconnects (LBA; LBB) of the second metallization plane (M2). The invention likewise provides a corresponding fabrication method.
    Type: Grant
    Filed: July 3, 2001
    Date of Patent: June 21, 2005
    Assignee: Infineon Technologies AG
    Inventor: Detlef Weber
  • Patent number: 6904675
    Abstract: A method is provided for forming an electrical conductors, comprising forming electrically conductive segments incorporating electromigration-inhibiting plugs. A row of windows is formed in a planar surface and electromigration-inhibiting material is deposited over the planar surface and into the windows to provide, electromigration-inhibiting plugs in the windows. The plugs may be formed by depositing an electromigration-inhibiting liner in the windows and then depositing electrically conductive material to fill the windows. Portions of either or both of the plugs and conductive segments are removed such that the plugs and conductive segments have a coplanar surface. The plugs may be formed in windows in an electrically conductive layer defining the conductive segments.
    Type: Grant
    Filed: October 1, 2003
    Date of Patent: June 14, 2005
    Assignee: Hewlett-Packard Development, L.P.
    Inventors: Eugenia Atakov, Adam Shepela, Lawrence Bair, John Clement, Bruce Gieseke
  • Patent number: 6903004
    Abstract: A low K dielectric layer and a cap for the low K dielectric layer are formed in situ using the same silicon precursors but at different precursor ratios. The low K dielectric is deposited with precursors that are useful for making a low K dielectric. Trenches are formed in the low K dielectric and are filled by a metal layer. Chemical mechanical processing (CMP) is utilized to remove the metal outside the trench while the cap aids planarity outside the trench.
    Type: Grant
    Filed: December 16, 2003
    Date of Patent: June 7, 2005
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Gregory S. Spencer, Michael D. Turner
  • Patent number: 6903002
    Abstract: In one embodiment, a metal level includes a plurality of metal lines. A low-k dielectric is deposited over the metal level such that an air gap forms at least between two metal lines. The relatively low dielectric constant of the low-k dielectric reduces capacitance on metal lines regardless of whether an air gap forms or not. The air gap in the low-k dielectric further reduces capacitance on metal lines. The reduced capacitance translates to lower RC delay and faster signal propagation speeds.
    Type: Grant
    Filed: September 11, 2002
    Date of Patent: June 7, 2005
    Assignee: Cypress Semiconductor Corporation
    Inventors: Mira Ben-Tzur, Krishnaswamy Ramkumar, Christopher A. Seams, Thurman J. Rodgers
  • Patent number: 6898851
    Abstract: It is an object to provide a semiconductor device having a buried multilayer wiring structure in which generation of a resolution defect of a resist pattern is suppressed and generation of a defective wiring caused by the resolution defect is reduced. After a via hole (7) reaching an etching stopper film (4) is formed, annealing is carried out at 300 to 400° C. with the via hole (7) opened. As an annealing method, it is possible to use both a method using a hot plate and a method using a heat treating furnace. In order to suppress an influence on a lower wiring (20) which has been manufactured, heating is carried out for a short time of approximately 5 to 10 minutes by using the hot plate.
    Type: Grant
    Filed: November 21, 2003
    Date of Patent: May 31, 2005
    Assignees: Renesas Technology Corp., Matsushita Electric Industrial Co., Ltd.
    Inventors: Yasutaka Nishioka, Junjiro Sakai, Shingo Tomohisa, Susumu Matsumoto, Fumio Iwamoto, Michinari Yamanaka
  • Patent number: 6890847
    Abstract: Methods of providing foamed polynorbornene insulating material for use with an integrated circuit device, as well as apparatus and systems making use of such foamed polynorbornene insulating materials. The methods include forming a layer of polynorbornene material and converting at least a portion of the layer of polynorbornene material to a foamed polynorbornene material, such as by exposing the layer of polynorbornene material to a supercritical fluid. The foamed polynorbornene material can provide electrical insulation between conductive layers of the integrated circuit device.
    Type: Grant
    Filed: February 22, 2000
    Date of Patent: May 10, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Paul A. Farrar
  • Patent number: 6881662
    Abstract: A pattern formation process for an integrated circuit substrate, which is not employing the conventional method of filling resin material directly in via filling process but adapting the metal spray method, the metal vapor deposition method or any combination thereof to form the pattern including circuits and pads and stuff the vias and the through holes.
    Type: Grant
    Filed: August 2, 2002
    Date of Patent: April 19, 2005
    Assignee: Via Technologies, Inc.
    Inventors: Moriss Kung, Kwun-Yao Ho
  • Patent number: 6878616
    Abstract: A low-k dielectric for use as an interlayer for an interconnect structure is provided. The dielectric of the present invention is an alkaline boron silicate glass which when formulated in certain compositional ranges can undergo spinodal decomposition when processed using certain thermal profiles. Spinodal decomposition is a chemical and physical separation of the silicate glass into a distinct interpenetrating microstructure which contains a substantially pure silicon dioxide network and a boron-rich network. The dimension (i.e., scale), and the amount of separation can be controlled through compositional and thermal control during the processing of the silicate glass.
    Type: Grant
    Filed: November 21, 2003
    Date of Patent: April 12, 2005
    Assignee: International Business Machines Corporation
    Inventors: Jon A. Casey, Daniel C. Edelstein
  • Patent number: 6875682
    Abstract: A method is disclosed of forming a bonding pad that is immune to IMD cracking. A partially processed semiconductor wafer is provided having all metal levels completed. A blank dielectric layer is formed over the uppermost metal level. Patterning and etching said dielectric layer horizontal and vertical arrays of trenches are formed passing through the dielectric layer and separating the dielectric layer into cells. The trenches are filled with a conducting material and, after performing CMP, bonding metal patterns are deposited. Wires are bonded onto said bonding metal patterns, after which a passivation layer is formed.
    Type: Grant
    Filed: September 4, 2001
    Date of Patent: April 5, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chung Liu, Yuan-Lung Liu, Ruey-Yun Shiue
  • Patent number: 6875704
    Abstract: A method for forming a pattern using a printing process is disclosed in the present invention. The method includes forming a resist layer on a substrate having an etching layer thereon, locating a master having a convex pattern over the substrate, pressing the master against the substrate until the convex pattern of the master directly contacts the etching layer, and removing a portion of the resist layer to expose a surface over the substrate, the removed portion of the resist layer having a width substantially the same as the convex portion of the master.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: April 5, 2005
    Assignee: LG.Philips LCD Co., Ltd.
    Inventors: Myoung-Kee Baek, Kwon-Shik Park
  • Patent number: 6875687
    Abstract: Specific embodiments of the invention provide a silicon-carbide-type or silicon oxycarbide (also often called carbon-doped-oxide [CDO] or organosilicate glass) capping material and method for depositing this capping material on ELK films which are used as a dielectric material in integrated circuits. The ELK film may include any ELK film including but not limited to inorganic, organic and hybrid dielectric materials and their respective porous versions. The silicon-carbide-type material may be an amorphous silicon carbide type material such as the commercially available BLOk™ material, or a carbon-doped oxide material such as the commercially available Black Diamond™ both of which are developed by Applied Materials of Santa Clara, Calif. The amorphous silicon carbide (a-SiC) material is deposited using a plasma process in a non-oxidizing environment and the CDO-type material is deposited using an oxygen-starved plasma process.
    Type: Grant
    Filed: October 18, 2000
    Date of Patent: April 5, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Timothy Weidman, Michael P Nault, Josephine J Chang
  • Patent number: 6872652
    Abstract: A method for cleaning a semiconductor interconnect structure formed in an organic ILD using an anisotropic organic dielectric etch in combination with a sputter clean process. Organic material displaced from the sidewalls to the bottom of the structure by the sputter clean is removed by the ion enhanced organic etch. Interconnect resistance shift is reduced and reliability of the interconnect structure is improved by removing contaminates at the interface of the via/contact, and by increasing adhesion of the liner or plug to the underlying conductive layer.
    Type: Grant
    Filed: August 28, 2001
    Date of Patent: March 29, 2005
    Assignee: Infineon Technologies AG
    Inventor: Sunfei Fang
  • Patent number: 6867125
    Abstract: An embodiment of the present invention includes a method to form an air gap in a multi-layer structure. A dual damascene structure is formed on a substrate. The dual damascene structure has a metallization layer, a barrier layer, a sacrificial layer, and a hard mask layer. The sacrificial layer is made of a first sacrificial material having substantial thermal stability and decomposable by an electron beam. The sacrificial layer is removed by the electron beam to create the air gap between the barrier layer and the hard mask layer.
    Type: Grant
    Filed: September 26, 2002
    Date of Patent: March 15, 2005
    Assignee: Intel Corporation
    Inventors: Grant Kloster, Jihperng Leu, Hyun-Mog Park
  • Patent number: 6867126
    Abstract: A method of increasing the cracking threshold of a low-k material layer comprising the following steps. A substrate having a low-k material layer formed thereover is provided. The low-k material layer having a cracking threshold. The low-k material layer is plasma treated to increase the low-k material layer cracking threshold. The plasma treatment including a gas that is CO2, He, NH3 or combinations thereof.
    Type: Grant
    Filed: November 7, 2002
    Date of Patent: March 15, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Lih-Ping Li, Yung-Chen Lu, Chung-Chi Ko
  • Patent number: 6867121
    Abstract: The present invention provides for a method of interconnecting a bumped circuit having relatively fine traces to an overlying conductive layer of a laminated circuit assembly. The overlying conductive layer is laminated with a suitable insulating adhesive over a bumped relatively fine pitch circuit layer. In the general vicinity of the desired power connection, a window substantially larger than the width of the bump is etched away from the conductive material of the trace of the outer conductive layer and the adhesive is plasma etched to expose the elevated portion of the desired bump of the bumped circuit. A conductive media such as conductive polymer or solder is then applied at the etched window of the overlying relatively coarse trace, which ensures an electrical connection between the exposed portion of the bump and the overlying trace.
    Type: Grant
    Filed: January 16, 2003
    Date of Patent: March 15, 2005
    Assignee: International Business Machines Corporation
    Inventor: Paul Marlan Harvey
  • Patent number: 6864580
    Abstract: A semiconductor device having a structure in which no short circuit occurs between plug interconnections even when a void occurs in an insulating layer in a gap between wiring layers and a method of manufacturing the same are attained. The method includes: a step of forming transfer gates so as to be close to each other with a gap on a semiconductor substrate; a step of burying the gap and covering a wiring layer; a step of opening a contact hole in an insulating layer in the gap portion; a step of depositing a short-circuit preventing insulating film in the contact hole; an etch back step of removing the short-circuit preventing insulating film at least on the bottom of the gap to expose the semiconductor substrate; and a step of forming a plug interconnection.
    Type: Grant
    Filed: July 27, 2001
    Date of Patent: March 8, 2005
    Assignees: Renesas Technology Corp., Ryoden Semiconductor System Engineering Corporation
    Inventors: Shoichiro Nakazawa, Heiji Kobayashi
  • Patent number: 6864583
    Abstract: A wiring layer is covered with a first organic SOG layer, a reinforcement insulating layer consisting of a silicon oxide film or a silicon nitride film formed by means of a plasma CVD method, and a second organic SOG layer, in this order. A via hole is formed in the first organic SOG layer and the reinforcement insulating layer, and a trench is formed in the second organic SOG layer to correspond to the via hole. A conductive via plug and an electrode pad are embedded in the via hole and the trench, respectively. The second SOG layer is covered with a passivation layer in which a through hole is formed to expose the electrode pad. A wire is connected to the exposed electrode pad in the through hole.
    Type: Grant
    Filed: March 31, 2003
    Date of Patent: March 8, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Noriaki Matsunaga, Takamasa Usui, Sachiyo Ito
  • Patent number: 6861744
    Abstract: A multilayer ceramic substrate has a first conductive pattern that is transfer-printed on a ceramic substrate using an intaglio plate made of a flexible resin. The intaglio plate has a plurality of grooves with different depts. A first insulation layer is on the first conductive pattern, and a second conductive pattern is on the insulating layer. The two conductive patterns are coupled by a via.
    Type: Grant
    Filed: February 12, 2002
    Date of Patent: March 1, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Masaaki Hayama, Noboru Mouri, Hayami Matsunaga
  • Patent number: 6861284
    Abstract: In a semiconductor device including an insulating core substrate, a plurality of layers of wiring patterns on the core substrate and insulating layers interposed between the wiring patterns, each adjacent pair of the wiring patterns being electrically connected through a conductor portion penetrating through the insulating layer interposed between them, each of the insulating layers is formed integrally, semiconductor chips thinner than one layer of the insulating layer are mounted into at least one of the insulating layers, and the semiconductor chips are electrically connected to one layer of the wiring pattern of one insulating layer adjacent on the side of the core substrate.
    Type: Grant
    Filed: January 2, 2003
    Date of Patent: March 1, 2005
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Mitsutoshi Higashi, Kei Murayama, Hideaki Sakaguchi, Hiroko Koike
  • Patent number: 6855626
    Abstract: A method for producing a wiring board for a semiconductor package having a base substrate with first and second surfaces; a wiring layer including wiring patterns formed on at least one of the first and second surfaces; a plurality of semiconductor element mounting areas formed on the surface of the base substrate on which the wiring layer is formed; and individual patterns as position information formed for the respective semiconductor element mounting areas, the individual patterns having a different shape for each of the respective semiconductor element mounting areas. The individual patterns as position information are formed on peripheral regions of the respective semiconductor element mounting areas.
    Type: Grant
    Filed: August 11, 2003
    Date of Patent: February 15, 2005
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Yukio Sato, Akihiro Oku, Masayoshi Aoki
  • Patent number: 6855625
    Abstract: Single-sided conductor patterned films are prepared, each of which has a conductor pattern formed only one side of a resin film and via hole filled with conductive paste. A single-sided conductor patterned film which has a conductor pattern formed only one side of a resin film and an opening formed in the resin film so as to expose an electrode is laminated on the single-sided conductor patterned films. Moreover, a cover layer with an opening to expose an electrode is laminated on a bottom surface of the single-sided conductor patterned films to form a laminate. Then, by pressing while heating the laminate, a multilayer substrate having the electrodes at both sides thereof can be produced.
    Type: Grant
    Filed: June 11, 2003
    Date of Patent: February 15, 2005
    Assignee: Denso Corporation
    Inventors: Koji Kondo, Tetsuaki Kamiya, Toshikazu Harada, Ryuichi Onoda, Yasutaka Kamiya, Gentaro Masuda, Yoshitaro Yazaki, Tomohiro Yokochi
  • Patent number: 6852616
    Abstract: A first element electrode and a second element electrode connected electrically to a semiconductor element on a substrate are formed, and then an insulating film is formed on the substrate including the element electrodes. Thereafter, a first opening for exposing the first element electrode and a second opening for exposing the second element electrode are formed on the insulating film. Then, a first external electrode connected to the first element electrode via the first opening is formed immediately above the first element electrode. Furthermore, a second external electrode and a connecting wire having one end connected to the second element electrode via the second opening and the other end connected to the second external electrode are formed on the insulating film.
    Type: Grant
    Filed: June 10, 2002
    Date of Patent: February 8, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Ryuichi Sahara, Kazumi Watase, Takahiro Kumakawa, Kazuyuki Kainoh, Nozomi Shimoishizaka
  • Patent number: 6852648
    Abstract: A process for fabricating an integrated semiconductor device with a low dielectric constant material and an integrated semiconductor device with the low dielectric constant material interposed between two conductors is disclosed. The low dielectric constant material has a dielectric constant of less than about 2.8. The low dielectric constant material is a porous glass material with an average pore size of less than about 10 nm. The low dielectric constant material is formed on a semiconductor substrate with circuit lines thereover by combining an uncured and unmodified glass resin with an amphiphilic block copolymer. The amphiphilic block copolymer is miscible in the uncured glass resin. The mixture is applied onto the semiconductor substrate and the glass resin is cured. The glass resin is further processed to decompose or otherwise remove residual block copolymer from the cured glass resin.
    Type: Grant
    Filed: May 9, 2003
    Date of Patent: February 8, 2005
    Assignee: Agere Systems Inc.
    Inventors: Omkaram Nalamasu, Chien-Shing Pai, Elsa Reichmanis, Shu Yang
  • Patent number: 6844255
    Abstract: The invention comprises methods of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry. In one implementation, a method of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry includes forming a conductive metal interconnect layer over a substrate. An insulating dielectric mass is provided about the conductive metal interconnect layer. The insulating dielectric mass has a first dielectric constant. At least a majority of the insulating dielectric mass is etched away from the substrate. After the etching, an interlevel dielectric layer is deposited to replace at least some of the etched insulating dielectric material. The interlevel dielectric layer has a second dielectric constant which is less than the first dielectric constant.
    Type: Grant
    Filed: October 9, 2001
    Date of Patent: January 18, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Terrence McDaniel, Max F. Hineman
  • Patent number: 6841463
    Abstract: An interlevel dielectric structure includes first and second dielectric layers between which are located lines of a conductive material with a dielectric material in spaces between the lines of conductive material, with the lower surface of the dielectric material extending lower than the lower surface of lines of conductive material adjacent thereto, and the upper surface of the dielectric material extending higher than the upper surface of conductive material adjacent thereto, thus reducing fringe and total capacitance between the lines of conductive material. The dielectric material, which has a dielectric constant of less than about 3.6, does not extend directly above the upper surface of the lines of conductive material, allowing formation of subsequent contacts down to the lines of conductive material without exposing the dielectric material to further processing. Various methods for forming the interlevel dielectric structure are disclosed.
    Type: Grant
    Filed: July 28, 2000
    Date of Patent: January 11, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Sandhu, Anand Srinivasan, Ravi Iyer
  • Patent number: 6841467
    Abstract: A method for producing a semiconductor device comprises forming an opening by etching process using a resist pattern as a mask in a multi-layered film having a first organic insulating film, a first etching stop film and a second organic insulating film being layered in this order such that the opening penetrates from the first organic insulating film to the second organic insulating film, wherein a second etching stop film is formed between the resist pattern and the second organic insulating film to protect the second organic insulating film from being etched during the formation of the opening.
    Type: Grant
    Filed: April 6, 2001
    Date of Patent: January 11, 2005
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Yushi Inoue
  • Patent number: 6838370
    Abstract: The present invention is directed to suppressing the rise of a dielectric constant of insulating film during a procedure of burying wiring in semiconductor devices by using a damascene process, and it is also directed to simplifying a process of manufacturing the semiconductor devices. In terms of a process step of forming protection film on a metal layer during the damascene process, there is employed a combined arrangement of a wash unit where particles are removed from polished substrates with a processing unit where a solution containing an organic substance such as benzotriazole, which tends to be bound to the metal layers, is applied to the metal layers over the substrates after the particles are removed therefrom. For the combined arrangement of the processing unit and the wash unit, either a batch processing unit or a mono/serial processing unit can be employed.
    Type: Grant
    Filed: September 8, 2000
    Date of Patent: January 4, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Takayuki Niuya, Michihiro Ono, Hideto Goto
  • Patent number: 6838772
    Abstract: A semiconductor device has a first insulating film deposited over a semiconductor substrate, an interconnect opening portion formed in the first insulating film, an interconnect disposed in the interconnect opening portion, and a second insulating film formed over the first insulating film and the interconnect. The interconnect has a first conductor film, a second conductor film formed via the first conductor film and comprised of one of titanium silicon nitride, tantalum silicon nitride, tantalum nitride and titanium nitride, a third conductor film formed via the first and second conductor films and comprised of a material having good adhesion with copper; and a fourth conductor film formed via the first, second and third conductor conductor film having a copper as a main component. Thus, it is possible to improve adhesion between a conductor film composed mainly of copper and another conductor film having a copper-diffusion barrier function in the interconnect.
    Type: Grant
    Filed: May 15, 2003
    Date of Patent: January 4, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Toshio Saitoh, Kensuke Ishikawa, Hiroshi Ashihara, Tatsuyuki Saito
  • Publication number: 20040266172
    Abstract: Disclosed is a method for forming metal lines, which comprises the following steps of: preparing a semiconductor substrate having a lower metal line; successively forming a polymer dielectric film and an oxide film on the substrate, the polymer dielectric film and the oxide film having a contact for exposing a predetermined portion of the lower metal line; dry cleaning a resultant structure according to a remote plasma mechanism to remove the metal oxide film from the surface portion of the lower metal line exposed via the contact and to form a protective film on a lateral portion of the polymer dielectric film; and embedding a metal film functioning as an upper metal line in a contact structure.
    Type: Application
    Filed: December 5, 2003
    Publication date: December 30, 2004
    Inventor: Tae Kyung Kim
  • Publication number: 20040266173
    Abstract: A method for forming an electrically conductive layer having predetermined patterns for semiconductor devices includes providing a substrate, forming an insulation layer having OH functional groups on the substrate, forming a patterned polymer layer on the insulation layer, etching the insulation layer to create a patterned insulation layer which has the same patterns as the patterned polymer layer, stripping the patterned polymer layer to expose the patterned insulation layer, treating the patterned insulation layer with a coupling agent which reacts with the OH functional groups, treating the patterned insulation layer with a catalyst-containing solution in which the catalyst reacts with the coupling agent, and depositing electrically conductive material on the patterned insulation layer which is catalytically active.
    Type: Application
    Filed: June 2, 2004
    Publication date: December 30, 2004
    Applicant: International Business Machines Corporation
    Inventors: Paul S. Andry, John C. Flake, Bruno Michel, Takatoshi Tsujimura
  • Patent number: 6835647
    Abstract: A semiconductor device including an interconnection structure having superior electrical characteristics and allowing higher speed of operation and lower power consumption even when miniaturized, manufacturing method thereof and a method of designing a semiconductor circuit used in the manufacturing method are provided. In the semiconductor device, a conductive region is formed on a main surface of a semiconductor substrate. A first interconnection layer is electrically connected to the conductive region, has a relatively short line length, and contains a material having relatively high electrical resistance. A first insulator is formed to surround the first interconnection layer and has a relatively low dielectric constant. A second interconnection layer is formed on the main surface of the semiconductor substrate, contains a material having low electrical resistance than the material contained in the first interconnection layer, and has longer line length than the first interconnection layer.
    Type: Grant
    Filed: March 7, 2003
    Date of Patent: December 28, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Hiroyuki Amishiro, Motoshige Igarashi
  • Patent number: 6835645
    Abstract: After forming, on a substrate, a first insulating film with a relatively low dielectric constant and low mechanical strength, the first insulating film is patterned. After forming, on the substrate, a second insulating film with a relatively high dielectric constant and high mechanical strength, the second insulating film is planarized by polishing, so as to form a thinned portion of the second insulating film on the patterned first insulating film. An interconnect groove is formed in the thinned portion of the second insulating film and the patterned first insulating film, and then, a buried interconnect is formed in the interconnect groove.
    Type: Grant
    Filed: August 31, 2001
    Date of Patent: December 28, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Tsuneo Ikura
  • Patent number: 6833319
    Abstract: A method for fabricating a semiconductor device by simultaneously forming via holes in a multi-layered structure having depth differences without requiring additional process steps. Steps to achieve this effect include forming a first conductive layer; forming a first etching protection layer on the first conductive layer; forming a first insulating layer; forming a second conductive layer on the first insulating layer; forming a second etching protection layer on the second conductive layer, wherein etching protection efficiency of the second protection layer is higher than that of the first etching protection layer; forming a second insulating layer; and forming a first and a second via hole respectively exposing the first and the second conductive layer by selectively etching the first and second insulating layer.
    Type: Grant
    Filed: November 14, 2002
    Date of Patent: December 21, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sung-Kwon Lee, Sang-Ik Kim
  • Patent number: 6833300
    Abstract: Contacts are formed to integrated circuit devices by first forming a conductive layer (80) on a semiconductor device. An optional dielectric layer (130) is formed over the conductive layer and a carbon containing dielectric layer (140) is formed over the optional dielectric layer (130). Contacts are formed to the conductive layer (80) by etching openings in the carbon containing dielectric layer (140) and the optional dielectric layer (130).
    Type: Grant
    Filed: January 24, 2003
    Date of Patent: December 21, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Leland S. Swanson, Gregory E. Howard
  • Publication number: 20040251553
    Abstract: A semiconductor device formed of an insulation layer having at least a laminated portion in which a first insulation film made of silicon oxide film and a second insulation film made of organic insulation film are laminated on each other, wherein said semiconductor device has a silicon oxide film structure in which moisture absorption is limited, said structure having characteristics showing that a ratio SI/SII of area integrations SI and SII of a desorption gas spectrum by ion current measurement of the temperature programmed desorption mass analysis measurement based on mass 18 relating to the laminated structure of the silicon oxide film and the organic insulation film and a single layer structure of the silicon oxide film is not less than 1 or not more than 1.5.
    Type: Application
    Filed: July 7, 2004
    Publication date: December 16, 2004
    Inventors: Hideyuki Kitou, Toshiaki Hasegawa
  • Patent number: 6830999
    Abstract: An improved flip chip assembly is disclosed of the type where a semiconductor chip having a certain thermal expansion coefficient is directly mounted via solder bumps on the metallization pattern of a circuit substrate having a different thermal expansion coefficient. A base layer comprised of a polymer material is disposed over the surface of the chip, between the chip and the substrate, and the solder bumps are placed over the base layer; the base layer modifies the effective thermal expansion coefficient of the solder bumps to approximate that of the substrate, thus reducing the thermal expansion coefficient differential at the junction of the chip and the substrate.
    Type: Grant
    Filed: June 17, 2002
    Date of Patent: December 14, 2004
    Assignee: Agere Systems Inc.
    Inventor: Rajan D. Deshmukh
  • Publication number: 20040248395
    Abstract: In a method for manufacturing a semiconductor device having a multi-layer insulating film, a first insulating film is formed as one layer of the multi-layer insulating film, and a plasma treatment is performed on the surface of the first insulating film in an ambient of helium and argon, containing 5 to 31% Ar. After the plasma treatment, a second insulating film, different from the first insulating film, is formed on the first insulating film as another layer of the multi-layer insulating film.
    Type: Application
    Filed: May 28, 2004
    Publication date: December 9, 2004
    Applicant: Semiconductor Leading Edge Technologies, Inc.
    Inventors: Katsumi Yoneda, Toru Yoshie
  • Publication number: 20040248396
    Abstract: For suppressing decomposition of an organic group (for example, a CH3 group) which is bonded to an Si atom of an organic SOG film for use in a flattening process at the time of an ashing process, there is provided a method comprising the steps of: forming an organic SOG layer directly on a lower wiring layer or on a predetermined film including a hillock protection layer which is formed on the lower wiring layer in advance; forming an upper wiring layer on the organic SOG layer without using an etching back process; forming a via hole through an etching process by using a patterned resist layer provided on the upper wiring layer as a mask; performing an ashing process with a plasma by making ions or radicals which are induced from oxygen gas as a main reactant, under an atmospheric pressure ranging from 0.01 Torr to 30.0 Torr; and filling said via hole with a conductive material so as to electrically connect the lower wiring layer to the upper wiring layer.
    Type: Application
    Filed: February 19, 2004
    Publication date: December 9, 2004
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Hiroyuki Iida, Kazuto Ohbuchi, Atsushi Matsushita, Yoshio Hagiwara
  • Patent number: 6828258
    Abstract: An insulating film which enables not only to obtain a good film quality but to achieve an excellent filling property, thick film formation and planarization simultaneously, an insulating film forming coating solution for forming the insulating film, and a method of manufacturing the insulating film are set forth. An insulating film forming coating solution containing as a main component a solution of a polymer obtained by co-hydrolysis of trialkoxysilane expressed by a general formula, SiH(OR)3—, methyltrialkoxysilane expressed by a general formula, SiCH3(OR)3—, and tetraalkoxysilane expressed by a general formula, Si(OR)4 is coated on a semiconductor substrate (1) having a step portion, and after it is heated and dried in an inert gas atmosphere, an insulating film (6) which is composed of a silane-derived compound expressed by a general formula, SiHx(CH3)yO2−(x+y)/2, where, 0<x<1, 0<y<1, x+y≦1 is formed.
    Type: Grant
    Filed: April 29, 2002
    Date of Patent: December 7, 2004
    Assignee: Kawasaki Microelectronics, Inc.
    Inventors: Tadashi Nakano, Kyoji Tokunaga
  • Patent number: 6828683
    Abstract: In one aspect, the invention encompasses a semiconductor processing method wherein a conductive copper-containing material is formed over a semiconductive substrate and a second material is formed proximate the conductive material. A barrier layer is formed between the conductive material and the second material. The barrier layer comprises a compound having silicon chemically bonded to both nitrogen and an organic material. In another aspect, the invention encompasses a composition of matter comprising silicon chemically bonded to both nitrogen and an organic material. The nitrogen is not bonded to carbon. In yet another aspect, the invention encompasses a semiconductor processing method. A semiconductive substrate is provided and a layer is formed over the semiconductive substrate. The layer comprises a compound having silicon chemically bonded to both nitrogen and an organic material.
    Type: Grant
    Filed: December 23, 1998
    Date of Patent: December 7, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Weimin Li, Zhiping Yin
  • Publication number: 20040241980
    Abstract: A method for manufacturing a semiconductor device in which lower cost can be realized, a wiring with favorable coverage can be formed in a contact hole having a large aspect ratio, wiring capacitance can be reduced and a multilayer wiring can be formed, can be provided.
    Type: Application
    Filed: March 23, 2004
    Publication date: December 2, 2004
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Tetsuji Yamaguchi, Atsuo Isobe
  • Patent number: RE38753
    Abstract: An interconnection structure includes an interlevel insulating film, made of organic-containing silicon di oxide, between lower- and upper-level metal interconnects. A phenyl group, bonded to a silicon atom, is introduced into silicon di oxide in the organic-containing silicon di oxide.
    Type: Grant
    Filed: May 5, 2003
    Date of Patent: July 5, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Nobuo Aoi