Including Organic Insulating Material Between Metal Levels Patents (Class 438/623)
  • Patent number: 6392297
    Abstract: An electronic component comprises an insulator substrate (11), a layered member composed of a plurality of insulator resin layers (12a-12f) and a plurality of conductor pattern layers (13a-13f) alternately stacked on the insulator substrate to form a first conductor line and a second conductor line each of which comprises at least one conductor layer, first and second external electrode terminal portions connected to opposite ends of the first conductor line and covering first and second areas of side surfaces of said layered member and the insulator substrate, respectively, and a third external electrode terminal portion connected to one end of the second conductor line and covering a third area of the side surfaces of the layered member and the insulator substrate. The second conductor lines have magnetic and electrocapacitive coupling with respect to the first conductor line.
    Type: Grant
    Filed: March 28, 1997
    Date of Patent: May 21, 2002
    Assignee: Tokin Corporation
    Inventor: Kazuhiro Seto
  • Patent number: 6391795
    Abstract: A composite layer of low k silicon oxide dielectric material is formed on an oxide layer of an integrated circuit structure on a semiconductor substrate having closely spaced apart metal lines thereon. The composite layer of low k silicon oxide dielectric material exhibits void-free deposition properties in high aspect ratio regions between the closely spaced apart metal lines, deposition rates in other regions comparable to standard k silicon oxide, and reduced via poisoning characteristics. The composite layer of low k silicon oxide dielectric material is formed by depositing, in high aspect ratio regions between closely spaced apart metal lines, a first layer of low k silicon oxide dielectric material exhibiting void-free deposition properties until the resulting deposition of low k silicon oxide dielectric material reaches the level of the top of the metal lines on the oxide layer.
    Type: Grant
    Filed: October 22, 1999
    Date of Patent: May 21, 2002
    Assignee: LSI Logic Corporation
    Inventors: Wilbur G. Catabay, Richard Schinella
  • Patent number: 6391932
    Abstract: Porous polyimide dielectric materials having low dielectric constants useful in electronic component manufacture are disclosed along with methods of preparing the porous polyimide dielectric materials. Also disclosed are methods of forming integrated circuits containing such porous polyimide dielectric material.
    Type: Grant
    Filed: August 8, 2000
    Date of Patent: May 21, 2002
    Assignee: Shipley Company, L.L.C.
    Inventors: Robert H. Gore, Michael K. Gallagher, Scott A. Ibbitson
  • Patent number: 6391764
    Abstract: A method for fabricating a semiconductor device includes the steps of: forming a transistor on a semiconductor substrate; forming a first interlayer insulating film over the entire structure including the transistor; planarizing the first interlayer insulating film; forming a stabilized insulating film consisting of an insulating material having low thermal expansion and shrinkage on the first interlayer insulating film; forming an interconnection line on the stabilized insulating film; forming a second interlayer insulating film on the stabilized insulating film to cover the interconnection line; and forming a metal electrode on the second interlayer insulating film in order to contact the semiconductor substrate. The interconnection line on the interlayer insulating film does not move as a result of the thermal treatment process, and thus does not cause shorts with the metal electrode. As a result, the leakage current is prevented and the electrical properties of the semiconductor is improved.
    Type: Grant
    Filed: November 6, 2000
    Date of Patent: May 21, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Sung Kwon Lee
  • Patent number: 6387803
    Abstract: The invented method produces a silicide region on a silicon body that is useful for a variety of purposes, including the reduction of the electrical contact resistance to the silicon body or an integrated electronic device formed thereon. The invented method includes a step of producing an amorphous region on the silicon body using ion implantation, for example, a step of forming a metal layer such as titanium, cobalt or nickel in contact with the amorphous region, and a step of irradiating the metal with intense light from a source such as a laser, to cause metal atoms to diffuse into the amorphous region to form an alloy region with a silicide composition. In an application of the invented method to the manufacture of a MISFET device, the metal layer is preferably formed with a thickness that is at least sufficient to produce a stoichiometric proportion of metal and silicon atoms in the amorphous region of the gate of the MISFET device.
    Type: Grant
    Filed: September 21, 1998
    Date of Patent: May 14, 2002
    Assignee: Ultratech Stepper, Inc.
    Inventors: Somit Talwar, Gaurav Verma, Karl-Josef Kramer, Kurt Weiner
  • Patent number: 6387798
    Abstract: A method of etching trenches through a low-k material layer using a hard mask wherein the trenches are sized down from the mask size by etching without sacrificing a vertical trench profile is described. A low-k dielectric material is provided over a region to be contacted on a substrate. A hard mask layer is deposited overlying the dielectric material. A mask is formed over the hard mask layer wherein the mask has a first opening of a first width. A second opening is etched in the hard mask layer where it is exposed by the mask wherein the second opening has a second width smaller than the first width and wherein the second opening has inwardly sloping sidewalls. A trench is etched through the dielectric layer to the region to be contacted through the second opening whereby the trench has a width equal to the second width. The trench is filled with a metal layer to complete fabrication of the integrated circuit device.
    Type: Grant
    Filed: June 25, 2001
    Date of Patent: May 14, 2002
    Assignee: Institute of Microelectronics
    Inventors: Nelson Chou San Loke, Mukherjee-Roy Moitreyee, Joseph Xie
  • Patent number: 6383911
    Abstract: A semiconductor device having: a first interconnect or electrode formed on a substrate; an organic insulation film which is formed covering the first interconnect or electrode and in which an interconnect trench and an interlayer connection hole reaching from the interconnect trench to the first interconnect or electrode are formed; an inorganic insulation film which is formed covering the side of the interconnect trench and the interlayer connection hole, and into at least surface part of which nitrogen is introduced; a second interconnect or electrode buried into the interconnect trench through the inorganic insulation film; and a buried conductive layer which is formed in the interlayer connection hole and connects between the between the first interconnect or electrode and the second interconnect or electrode.
    Type: Grant
    Filed: July 11, 2001
    Date of Patent: May 7, 2002
    Assignee: NEC Corporation
    Inventor: Kaoru Mikagi
  • Patent number: 6383919
    Abstract: An interconnect structure and method of forming the same in which a bottom anti-reflective coating/etch stop layer is deposited over a conductive layer. An organic low k dielectric material is deposited over the BARC/etch stop layer to form a first dielectric layer. The first dielectric layer is etched to form a via in the first dielectric layer. An inorganic low k dielectric material is deposited within the via and over the first dielectric layer to form a second dielectric layer over the via and the first dielectric layer. The re-filled via is simultaneously etched with the second dielectric layer in which a trench is formed. A portion of the trench is directly over the via. The re-opened via and the trench are filled with a conductive material.
    Type: Grant
    Filed: February 7, 2001
    Date of Patent: May 7, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Fei Wang, Lynne A. Okada, Ramkumar Subramanian, Calvin T. Gabriel
  • Patent number: 6380076
    Abstract: The present invention relates to a dielectric filling for electrical wiring planes of an integrated circuit. The electrical wiring of the integrated circuit comprises a base body on which track and passivation planes can already be disposed; a conductive layer which is disposed on the base body and is patterned in such a manner that it exhibits a first conductor track, a second conductor track and a trench between the first conductor track and the second conductor track; at least one dielectric layer is disposed on the conductive layer and at least partially fills the trench, the preferred material of the dielectric layer being the polymer material polybenzoxazole.
    Type: Grant
    Filed: December 18, 2000
    Date of Patent: April 30, 2002
    Assignee: Infineon Technologies AG
    Inventors: Markus Kirchhoff, Michael Rogalli, Stephan Wege
  • Patent number: 6376365
    Abstract: A method for fabricating semiconductor devices having multi-layered wiring structure with an advanced reliability and free from shortcircuit failure between the upper and lower wirings is provided. The method has a step for forming on a first insulating film, having a conductive body exposed thereon, a second insulating film so as to cover the conductive body, and a step for forming by etching a recess to the second insulating film so as to reach the conductive body. In this case at least the lower portion of the second insulating film is formed with a material showing a faster etching rate over at least the upper portion of the first insulating film.
    Type: Grant
    Filed: June 21, 2000
    Date of Patent: April 23, 2002
    Assignee: Sony Corporation
    Inventor: Atsushi Tsuji
  • Patent number: 6376359
    Abstract: A method of manufacturing metallic interconnects capable of reducing internal stress inside the metallic layer. The method comprises the steps of forming a silicon-rich oxide layer both before and after the formation of a metallic layer. Therefore, the metallic layer is fully enclosed by silicon-rich oxide layers and any direct contact between the metallic layer and any silicon dioxide layer is avoided. Since the quantity of silicon in the silicon-rich oxide layer is much higher than in a silicon dioxide layer, bonds formed between a silicon atom and an oxygen atom in the silicon-rich oxide layer are much stronger. Consequently, the chance for an aluminum atom in the metallic layer to react with an oxygen atom in the silicon-rich oxide layer is greatly reduced. Hence, lattice vacancies/voids that can lead to conventional stress migration and thermal induced migration problems are prevented.
    Type: Grant
    Filed: May 15, 1998
    Date of Patent: April 23, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Yei-Hsiung Lin, Chen-Bin Lin, Chin-Chun Huang
  • Publication number: 20020045361
    Abstract: A method and apparatus for depositing a low dielectric constant film by reaction of an organosilicon compound and an oxidizing gas at a constant RF power level from about 10 W to about 200 W or a pulsed RF power level from about 20 W to about 500 W. Dissociation of the oxidizing gas can be increased prior to mixing with the organosilicon compound, preferably within a separate microwave chamber, to assist in controlling the carbon content of the deposited film. The oxidized organosilane or organosiloxane film has good barrier properties for use as a liner or cap layer adjacent other dielectric layers. The oxidized organosilane or organosiloxane film may also be used as an etch stop and an intermetal dielectric layer for fabricating dual damascene structures. The oxidized organosilane or organosiloxane films also provide excellent adhesion between different dielectric layers.
    Type: Application
    Filed: September 19, 2001
    Publication date: April 18, 2002
    Applicant: Applied Materials, Inc.
    Inventors: David Cheung, Wai-Fan Yau, Robert P. Mandal, Shin-Puu Jeng, Kuo-Wei Liu, Yung-Cheng Lu, Michael Barnes, Ralf B. Willecke, Farhad Moghadam, Tetsuya Ishikawa, Tze Wing Poon
  • Patent number: 6372635
    Abstract: An interconnect structure and method of forming the same in which a bottom anti-reflective coating/etch stop layer is deposited over a conductive layer. An inorganic low k dielectric material is deposited over the BARC/etch stop layer to form a first dielectric layer. The first dielectric layer is etched to form a slot via in the first dielectric layer. An organic low k dielectric material is deposited within the slot via and over the first dielectric layer to form a second dielectric layer over the slot via and the first dielectric layer. The re-filled via is simultaneously etched with the second dielectric layer in which a trench is formed. The trench extends in a direction that is normal to the length of the slot via. The entire width of the trench is directly over the via. The re-opened via and the trench are filled with a conductive material.
    Type: Grant
    Filed: February 6, 2001
    Date of Patent: April 16, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Fei Wang, Lynne A. Okada, Ramkumar Subramanian, Calvin T. Gabriel
  • Patent number: 6368906
    Abstract: A method for planarizing an interlayer dielectric layer formed on a semiconductor substrate having a step, using wet etch, by depositing first and second layers on the semiconductor substrate and selectively curing the second layer in the lower area using electron beams (E-beams). The second layer, e.g., an SOG layer formed of HSQ, has a lower etch rate during the wet etch in the cured area, to thereby easily planarize the substrate of the interlayer dielectric layer.
    Type: Grant
    Filed: December 9, 1998
    Date of Patent: April 9, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hong-jae Shin, Ju-seon Goo
  • Patent number: 6365503
    Abstract: The present invention provides a method of forming an electromigration resisting layer in a semiconductor device. In an exemplary embodiment, the method comprises depositing a corrosion inhibitor comprising an organic ligand on a conductive layer of a semiconductor device wherein the conductive layer is susceptible to electromigration. The method further includes subjecting the corrosion inhibitor and the semiconductor device to a high temperature anneal to form an electromigration resisting layer on the conductive layer that reduces electromigration of the conductive layer.
    Type: Grant
    Filed: June 14, 2000
    Date of Patent: April 2, 2002
    Assignee: Agere Systems Guardian Corp.
    Inventors: Jia Sheng Huang, Seung H. Kang, Anthony S. Oates, Yaw S. Obeng
  • Patent number: 6362083
    Abstract: A method for fabricating a locally reinforced metallic microfeature on a substrate provided preferably with an electrical contacting or a driving circuit, and on an organic, patterned sacrificial layer, which is removed after the metallic microfeature is applied, is described. In fabricating the local reinforcement of the microfeature, at least one further organic layer, formed as a mask, is deposited, which is likewise removed following pattern delineation of the metallic layer.
    Type: Grant
    Filed: November 24, 1999
    Date of Patent: March 26, 2002
    Assignee: Robert Bosch GmbHl
    Inventors: Roland Mueller-Fiedler, Juergen Graf, Stefan Kessel, Joerg Rehder
  • Publication number: 20020034873
    Abstract: The interlayer dielectric film made of a three-dimensionally polymerized polymer is formed by polymerizing: first cross-linking molecules having three or more sets of functional groups in one molecule providing a three-dimensional structure; and a second cross-linking molecule having two sets of functional groups in one molecule providing a two-dimensional structure. In the three-dimensionally polymerized polymer, dispersed are a number of molecular level pores formed by the polymerization of the first and second cross-linking molecules.
    Type: Application
    Filed: March 16, 2001
    Publication date: March 21, 2002
    Inventor: Nobuo Aoi
  • Patent number: 6358838
    Abstract: An intermetal insulating film containing at least silicon atoms, oxygen atoms and carbon atoms with the number ratio of oxygen atom to silicon atom being 1.5 or more and the number ratio of carbon atom to silicon atom being 1 to 2, and having a film thickness shrinkage at a time of oxidation of 14% or less is very low in dielectric constant, high in selectivity against resist etching and can be used without using a silicon oxide protective film in a semiconductor device.
    Type: Grant
    Filed: January 17, 2001
    Date of Patent: March 19, 2002
    Assignees: Hitachi, Ltd., Hitachi ULSI Systems Co., Ltd.
    Inventors: Takeshi Furusawa, Daisuke Ryuzaki, Noriyuki Sakuma, Shuntaro Machida, Kenji Hinode, Ryou Yoneyama
  • Patent number: 6358839
    Abstract: Low k dielectrics such as black diamond have a tendency to delaminate from the edges of a silicon wafer, causing multiple problems, including blinding of the alignment mark. This problem has been overcome by inserting a layer of silicon nitride between the low k layer and the substrate. A key requirement is that said layer of silicon nitride be under substantial compressive stress (at least 5×109 dynes/cm2). In the case of a layer of black diamond, on which material the invention is particularly focused, a nucleating layer is also inserted between the silicon nitride and the black diamond. A process for laying down the required layers is described together with an example of applying the invention to a dual damascene structure.
    Type: Grant
    Filed: May 26, 2000
    Date of Patent: March 19, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Lain-Jong Li, ShwangMing Jeng, Syun-Ming Jang
  • Patent number: 6355551
    Abstract: The invention proposes methods for producing integrated circuits wherein the dielectric constant between closely spaced and adjacent metal lines is approaching 1. One method of the invention uses low-melting-point dielectric to form a barrier form a void between conductive lines. Another method of the invention uses sidewall film to form a similar barrier.
    Type: Grant
    Filed: March 21, 2000
    Date of Patent: March 12, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Alan R. Reinberg
  • Patent number: 6355299
    Abstract: The invention encompasses methods of forming insulating materials proximate conductive elements. In one aspect, the invention includes a method of forming an insulating material proximate a substrate comprising: a) chemical vapor depositing a first material proximate a substrate; b) forming cavities within the first material; and c) after forming cavities within the first material, transforming at least some of the first material into an insulative second material. In another aspect, the invention includes a method of forming an insulating material proximate a substrate comprising: a) forming porous polysilicon proximate a substrate; and b) transforming at least some of the porous polysilicon into porous silicon dioxide.
    Type: Grant
    Filed: September 29, 2000
    Date of Patent: March 12, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Patent number: 6352945
    Abstract: A method for forming a silicone polymer insulation film having a low relative dielectric constant, high thermal stability and high humidity-resistance on a semiconductor substrate is applied to a plasma CVD apparatus. The first step is introducing a silicon-containing hydrocarbon compound expressed by the general formula Si&agr;O&bgr;CxHy (&agr;, &bgr;, x, and y are integers) to the reaction chamber of the plasma CVD apparatus. The silicon-containing hydrocarbon compound has at most two O—CnH2n+1 bonds and at least two hydrocarbon radicals bonded to the silicon. The residence time of the material gas is lengthened by, for example, reducing the total flow of the reaction gas, in such a way as to form a silicone polymer film having a micropore porous structure with a low relative dielectric constant.
    Type: Grant
    Filed: June 7, 1999
    Date of Patent: March 5, 2002
    Assignee: ASM Japan K.K.
    Inventors: Nobuo Matsuki, Yuichi Naito, Yoshinori Morisada, Aya Matsunoshita
  • Patent number: 6352918
    Abstract: A method of forming an inter-metal interconnection is provided. A substrate is provided. A dielectric layer with a metal plug therein is formed on the substrate. An IMD layer is formed on the dielectric layer. An insulating layer and a PE-oxide layer are formed on the IMD layer. A photolithography and etching process is performed to form a trench in the IMD layer and to expose the metal plug in the dielectric layer. A metal is filled into the trench to electrically connect to the metal plug.
    Type: Grant
    Filed: November 24, 1998
    Date of Patent: March 5, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Yimin Huang, Chih-Chien Liu, Tri-Rung Yew
  • Publication number: 20020025690
    Abstract: In a method for manufacturing a semiconductor device having a first insulation film, a second insulation film formed over the first insulation film, an inlayed interconnection layer formed in the second insulation film, and an organic film provided on the inlayed interconnection layer and the second insulation film, the organic film having a dielectric constant lower than the second insulation film, the organic film is grown inside a vacuum chamber.
    Type: Application
    Filed: October 24, 2001
    Publication date: February 28, 2002
    Applicant: NEC Corporation
    Inventors: Jun Kawahara, Yoshihiro Hayashi
  • Patent number: 6350704
    Abstract: An integrated circuit includes at least one porous silicon oxycarbide (SiOC) insulator, which provides good mechanical strength and a low dielectric constant (e.g., ∈R<2) for minimizing parasitic capacitance. The insulator provides IC isolation, such as between circuit elements, between interconnection lines, between circuit elements and interconnection lines, or as a passivation layer overlying both circuit elements and interconnection lines. The low dielectric constant silicon oxycarbide isolation insulator of the present invention reduces the parasitic capacitance between circuit nodes. As a result, the silicon oxycarbide isolation insulator advantageously provides reduced noise and signal crosstalk between circuit nodes, reduced power consumption, faster circuit operation, and minimizes the risk of potential timing faults.
    Type: Grant
    Filed: October 14, 1997
    Date of Patent: February 26, 2002
    Assignee: Micron Technology Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 6350672
    Abstract: A multilevel interconnect structure is formed which uses air as a dielectric between wiring lines and which is compatible with the presence of unlanded vias in the interconnect structure. A layer of carbon is deposited over an insulating surface and then a pattern for trenches is formed in the surface of the layer of carbon. Metal is deposited in the trenches and over the layer of carbon and then a chemical mechanical polishing process is used to define wiring lines. An ashing or etch back process is performed on the carbon layer to recess its surface below the surfaces of the wiring lines. An oxide capping layer is provided over the recessed surface of the carbon and the wiring lines, for example using HSQ and curing, and then the carbon layer is consumed through the capping layer using an oxidation process. Air replaces the sacrificial carbon layer during the consumption reaction.
    Type: Grant
    Filed: October 9, 1997
    Date of Patent: February 26, 2002
    Assignee: United Microelectronics Corp.
    Inventor: Shih-Wei Sun
  • Patent number: 6350679
    Abstract: The invention comprises methods of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry. In one implementation, a method of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry includes forming a conductive metal interconnect layer over a substrate. An insulating dielectric mass is provided about the conductive metal interconnect layer. The insulating dielectric mass has a first dielectric constant. At least a majority of the insulating dielectric mass is etched away from the substrate. After the etching, an interlevel dielectric layer is deposited to replace at least some of the etched insulating dielectric material. The interlevel dielectric layer has a second dielectric constant which is less than the first dielectric constant.
    Type: Grant
    Filed: August 3, 1999
    Date of Patent: February 26, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Terrence McDaniel, Max F. Hineman
  • Patent number: 6351039
    Abstract: A surface treatment for porous silica to enhance adhesion of overlying layers. Treatments include surface group substitution, pore collapse, and gap filling layer (520) which invades open surface pores (514) of xerogel (510).
    Type: Grant
    Filed: May 28, 1998
    Date of Patent: February 26, 2002
    Assignee: Texas Instruments Incorporated
    Inventors: Changming Jin, Kelly J. Taylor, Wei William Lee
  • Patent number: 6348407
    Abstract: This invention relates to a method of fabrication used for semiconductor integrated circuit devices, and more specifically to the use an alternate etch stop in dual damascene interconnects that improves adhesion between low dielectric constant organic materials. In addition, the etch stop material is a silicon containing material and is transformed into a low dielectric constant material (k=3.5 to 5), which becomes silicon-rich silicon oxide after UV radiation and silylation, oxygen plasma.
    Type: Grant
    Filed: March 15, 2001
    Date of Patent: February 19, 2002
    Assignee: Chartered Semiconductor Manufacturing Inc.
    Inventors: Subhash Gupta, Yi Xu, Simon Chooi, Mei Sheng Zhou
  • Patent number: 6348415
    Abstract: This invention discloses a planarization method for semiconductor device. The planarization method includes the steps of: providing a semiconductor substrate in which metal patterns are formed with various pattern densities; depositing a porous oxide layer over the semiconductor substrate so as to cover the metal patterns; plasma-treating surface of the porous oxide layer; and polishing the plasma-treated porous oxide layer by chemical mechanical polishing.
    Type: Grant
    Filed: December 27, 1999
    Date of Patent: February 19, 2002
    Assignee: Dongbu Electronics Co., Ltd.
    Inventors: Tae Young Lee, Jae Suk Lee
  • Publication number: 20020019124
    Abstract: A method for making a semiconductor integrated circuit device comprises the steps of: (a) depositing a first underlying film made of titanium nitride, on an insulating film having a plurality of through-holes; (b) depositing a tungsten film on the first underlying film, and etching the tungsten film back by means of a fluorine-containing plasma thereby leaving the tungsten film only in the connection holes; (c) sputter etching the surface of the first underlying film to remove the fluorine from the surface of the first underlying film; and (d) forming an aluminum film on the first underlying film. The semiconductor integrated circuit device obtained by the method is also described.
    Type: Application
    Filed: August 21, 2001
    Publication date: February 14, 2002
    Inventors: Masayuki Suzuki, Shinji Nishihara, Masashi Sahara, Shinichi Ishida, Hiromi Abe, Sonoko Tohda, Hiroyuki Uchiyama, Hideaki Tsugane, Yoshiaki Yoshiura
  • Patent number: 6346470
    Abstract: A method for making a semiconductor chip includes disposing copper interconnects adjacent via channels and then doping only the portions of the interconnects that lie directly beneath the via channels. Then, the via channels are filled with electrically conductive material. The impurities with which the interconnects are locally doped reduce unwanted electromigration of copper atoms at the interconnect-via interfaces, while not unduly increasing line resistance in the interconnects.
    Type: Grant
    Filed: April 19, 1999
    Date of Patent: February 12, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Takeshi Nogami, Sergey Lopatin
  • Patent number: 6346484
    Abstract: The present invention relates to formation of air gaps in metal/insulator interconnect structures, and to the use of supercritical fluid (SCF)-based methods to extract sacrificial place-holding materials to form air gaps in a structure. Supercritical fluids have gas-like diffusivities and viscosities, and very low or zero surface tension, so SCF's can penetrate small access holes and/or pores in a perforated or porous bridge layer to reach the sacrificial material. Examples of SCFs include CO2 (with or without cosolvents or additives) and ethylene (with or without cosolvents or additives). In a more general embodiment, SCF-based methods for forming at least partially enclosed air gaps in structures that are not interconnect structures are disclosed.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: February 12, 2002
    Assignee: International Business Machines Corporation
    Inventors: John Michael Cotte, Christopher Vincent Jahnes, Kenneth John McCullough, Wayne Martin Moreau, Satyanarayana Venkata Nitta, Katherine Lynn Saenger, John Patrick Simons
  • Patent number: 6344371
    Abstract: A dimensionally stable core for use in high density chip packages is provided. The stable core is a metal core, preferably copper, having clearances formed therein. Dielectric layers are provided concurrently on top and bottom surfaces of the metal core. Metal cap layers are provided concurrently on top surfaces of the dielectric layers. Blind or through vias are then drilled through the metal cap layers and extend into the dielectric layers and clearances formed in the metal core. If an isolated metal core is provided then the vias do not extend through the clearances in the copper core. The stable core reduces material movement of the substrate and achieves uniform shrinkage from substrate to substrate during lamination processing of the chip packages. This allows each substrate to perform the same. Additionally, a plurality of chip packages having the dimensionally stable core can be bonded together to obtain a high density chip package.
    Type: Grant
    Filed: August 19, 1998
    Date of Patent: February 5, 2002
    Assignee: W. L. Gore & Associates, Inc.
    Inventors: Paul J. Fischer, Robin E. Gorrell, Mark F. Sylvester
  • Publication number: 20020013046
    Abstract: A semiconductor device has an interlayer insulation film formed on a first metal wiring and formed of an organic compound having a lower dielectric constant than that of SiO2, a second metal wiring formed on the interlayer insulation film, and an interlayer adhesion layer to improve adherence between the interlayer insulation film and the second metal wiring. The semiconductor device is provided with a stress buffer layer of which the elastic modulus is higher than that of the interlayer insulation film and is lower than that of the interlayer adhesion layer between the interlayer insulation film and the interlayer adhesion layer.
    Type: Application
    Filed: June 20, 2001
    Publication date: January 31, 2002
    Applicant: NEC CORPORATION
    Inventor: Hirosada Koganei
  • Patent number: 6342454
    Abstract: A novel dielectric composition is provided that is useful in the manufacture of electronic devices such as integrated circuit devices and integrated circuit packaging devices. The dielectric composition is prepared by crosslinking a thermally decomposable porogen to a host polymer via a coupling agent, followed by heating to a temperature suitable to decompose the porogen. The porous materials that result have dielectric constants less than about 3.0, with some materials having dielectric constants less than about 2.5. Integrated circuit devices, integrated circuit packaging devices, and methods of manufacture are provided as well.
    Type: Grant
    Filed: November 16, 1999
    Date of Patent: January 29, 2002
    Assignee: International Business Machines Corporation
    Inventors: Craig Jon Hawker, James L. Hedrick, Robert D. Miller, Willi Volksen
  • Publication number: 20020009875
    Abstract: A method of producing a semiconductor device having a multilayered wiring conductors and a system for producing the same. The nonuniformity of SOG coating film effectively suppressed and various treatments are simple and less time-consuming. A wiring conductor is formed on a semiconductor substrate, and an insulating layer covering the wiring conductor and the semiconductor substrate is formed, and the insulating layer is then subjected to a wet etching prior to the formation of SOG layer, thereby to increase a wettabiltity by the coating solution on the insulating layer.
    Type: Application
    Filed: January 23, 2001
    Publication date: January 24, 2002
    Applicant: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Kojiro Yuzuriha
  • Publication number: 20020004298
    Abstract: A material containing, as a main component, an organic silicon compound represented by the following general formula:
    Type: Application
    Filed: July 9, 2001
    Publication date: January 10, 2002
    Applicant: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.
    Inventors: Gaku Sugahara, Nobuo Aoi, Koji Arai, Kazuyuki Sawada
  • Patent number: 6337269
    Abstract: The present invention fabricates a dual damascene structure. A passivation layer, a first dielectric layer, a second passivation layer, a second dielectric layer, a third passivation layer and a third dielectric layer are formed on the surface of the semiconductor wafer followed by etching the third dielectric layer to form a pattern of an upper trench of the dual damascene structure. Then the third passivation layer and the second dielectric layer are etched down to the surface of the second passivation layer so as to form a pattern of a via hole of the dual damascene structure. Thereafter, the third passivation layer and the second passivation layer not covered by the third dielectric layer and the second dielectric layer are removed. The third dielectric layer and the second passivation layer are used as hard masks to remove the second dielectric layer and the first dielectric layer until the surface of the first passivation layer.
    Type: Grant
    Filed: June 21, 2001
    Date of Patent: January 8, 2002
    Assignee: United Microelectronics Corp.
    Inventors: I-Hsiung Huang, Jiunn-Ren Hwang, Kuei-Chun Hung
  • Publication number: 20010055873
    Abstract: A semiconductor device superior in reliability and suitable for microminiaturization is provided. An organic SOG film is formed on a silicon oxide film. Boron ions are implanted into the organic SOG film. By introducing boron ions into the organic SOG film, the organic components in the film are decomposed. Also, the moisture and hydroxyl group included in the film are reduced. After a metal interconnection is embedded in a modified SOG film by the Damascene method, a modified SOG film is formed thereon. Then, contact holes are formed. After a contact hole interconnection is embedded in the contact holes, a modified SOG film and an upper metal interconnection are formed by the Damascene method.
    Type: Application
    Filed: May 27, 1999
    Publication date: December 27, 2001
    Inventors: HIROYUKI WATANABE, HIDEKI MIZUHARA, SHINICHI TANIMOTO, ATSUHIRO NISHIDA, YOSHIKAZU YAMAOKA, YASUNORI INOUE
  • Patent number: 6333278
    Abstract: An interlayer insulating film of a semiconductor device is made of a material in which silicon atoms are main elements, and each of the silicon atoms has an oxygen bond and a carbon bond, and further at least some of the silicon atoms have a hydrogen bond. The interlayer insulating film is formed by chemical vapor deposition employing a mixed gas of hydrogen peroxide and a reactive gas having a gas molecular structure in which silicon atoms have a hydrogen bond and a carbon bond.
    Type: Grant
    Filed: March 15, 2000
    Date of Patent: December 25, 2001
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Masazumi Matsuura
  • Patent number: 6333256
    Abstract: The invention includes a semiconductor processing method which comprises forming a first material layer over a substrate. A second material layer is formed over the first material layer. Photoresist is deposited over the second material layer, and an opening is formed within the photoresist to the second material layer. The second material layer is etched through the photoresist opening to a degree insufficient to outwardly expose the first material layer. The photoresist is then stripped from the substrate. Subsequently, the second material layer and the first material layer are blanket etched.
    Type: Grant
    Filed: December 22, 1998
    Date of Patent: December 25, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Shubneesh Batra
  • Patent number: 6333255
    Abstract: A lower carbon film as a provisional film, a lower SiO2 film and an upper carbon film are formed, and then trenches having a wiring pattern are formed in the upper carbon film. Next, contact holes are formed through the lower carbon film and the lower SiO2 film. Then, wires and plugs are formed by filling in the trenches and contact holes with a barrier metal film and a Cu alloy film. After these process steps are repeatedly performed several times, a dummy opening is formed to extend downward through the uppermost SiO2 film. Thereafter, the carbon films are removed by performing ashing with oxygen introduced through the dummy opening. As a result, gas layers are formed to surround the wires and plugs. In this manner, a highly reliable gas-dielectric interconnect structure can be obtained by performing simple process steps.
    Type: Grant
    Filed: August 20, 1998
    Date of Patent: December 25, 2001
    Assignee: Matsushita Electronics Corporation
    Inventor: Mitsuru Sekiguchi
  • Patent number: 6329280
    Abstract: Resist developers can attack some advanced dielectric materials such as silsesquioxane materials which can be used as an insulator between a surface of an integrated circuit chip and wiring layers formed on the surface of the dielectric material. By performing a resist stripping or etching process in which a reactant material is supplied externally or liberated from the dielectric material, an extremely thin surface protective covering of an intermediate material may be formed which is impervious to resist developers or any of a plurality of other materials which may damage the flowable oxide material. A dual Damascene process for forming robust connections and vias to the chip can thus be made compatible with advanced dielectrics having particularly low dielectric constants to minimize conductor capacitance and support fast signal propagation and noise immunity even where conductors are closely spaced to each other.
    Type: Grant
    Filed: May 13, 1999
    Date of Patent: December 11, 2001
    Assignee: International Business Machines Corporation
    Inventors: Robert Cook, Stephen E. Greco, John P. Hummel, Joyce Liu, Vincent J. McGahay, Rebecca Mih, Kamalesh Srivastava
  • Publication number: 20010048147
    Abstract: A semiconductor device includes a substrate and wirings located on the substrate. A passivation film including a first insulating film containing an impurity is located on the wirings. The first insulating film is formed from silicon oxide film materials containing greater than one percent carbon.
    Type: Application
    Filed: March 9, 1998
    Publication date: December 6, 2001
    Inventors: HIDEKI MIZUHARA, YASUNORI INOUE, HIROYUKI WATANABE, MASAKI HIRASE, KAORI MISAWA, HIROYUKI AOE, KIMIHIDE SAITO, HIROYASU ISHIHARA
  • Publication number: 20010048095
    Abstract: A process for forming a thermally stable low-dielectric constant material is provided. A gas mixture is prepared to form a fluorinated amorphous carbon (a-C:F) material. The gas mixture is mixed with a boron-containing gas.
    Type: Application
    Filed: July 1, 1998
    Publication date: December 6, 2001
    Inventor: STEVEN N. TOWLE
  • Publication number: 20010044201
    Abstract: A semiconductor device includes a multilayer interconnection structure including an organic interlayer insulation film in which a conductor pattern is formed by a damascene process, wherein the organic interlayer insulation film carries thereon an organic spin-on-glass film.
    Type: Application
    Filed: March 23, 1999
    Publication date: November 22, 2001
    Inventors: HIROSHI KUDO, MASANOBU IKEDA, KENICHI WATANABE, YOSHIYUKI OHKURA
  • Patent number: 6319815
    Abstract: A method for forming a wiring structure on a semiconductor substrate, comprising the following steps: a step for forming a low dielectric constant dielectric film and an etching stopper, sequentially, on said semiconductor substrate; a step for forming a resist mask having a pattern for forming via-holes on the etching stopper film; a step for forming via-holes on the low dielectric constant dielectric film through said resist mask; a step for filling said via-holes with an embedding material and for heating the embedding material to harden; a step for maintaining the embedding material at a predetermined thickness on the bottoms of the via-holes by performing etching back on the embedding material being heated to be harden; a step for forming a resist mask having a pattern for forming trench holes on said etching stopper film; a step for forming the trench holes on said low dielectric film constant dielectric through said resist mask, while removing the embedding material remaining on the bottoms of said via
    Type: Grant
    Filed: October 18, 1999
    Date of Patent: November 20, 2001
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Etsuko Iguchi, Masakazu Kobayashi, Yasumitsu Taira
  • Publication number: 20010039112
    Abstract: In one aspect, the invention provides a method of exposing a material from which photoresist cannot be substantially selectively removed utilizing photoresist. In one preferred implementation, a first material from which photoresist cannot be substantially selectively removed is formed over a substrate. At least two different material layers are formed over the first material. Photoresist is deposited over the two layers and an opening formed within the photoresist over an outermost of the two layers. First etching is conducted through the outermost of the two layers within the photoresist opening to outwardly expose an innermost of the two layers and form an exposure opening thereto. After the first etching, photoresist is stripped from the substrate. After the stripping, a second etching is conducted of the innermost of the two layers within the exposure opening.
    Type: Application
    Filed: December 22, 1998
    Publication date: November 8, 2001
    Inventors: GURTEJ S. SANDHU, SHUBNEESH BATRA
  • Patent number: 6313024
    Abstract: In one embodiment of the invention, conductive support structures (112) are formed within an interlevel dielectric layer. The conductive support structures (112) lie within the bond pad region (111) of the integrated circuit and provide support to portions of the interlevel dielectric layer that have a low Young's modulus. The conductive support structures (112) are formed using the same processes that are used to form metal interconnects in the device region (109) of the integrated circuit, but they are not electrically coupled to semiconductor devices that lie within the device region (109). Conductive support structures (114) are also formed within the scribe line region (104) to provide support to the interlevel dielectric layer in this region of the integrated circuit.
    Type: Grant
    Filed: September 10, 1999
    Date of Patent: November 6, 2001
    Assignee: Motorola, Inc.
    Inventors: Nigel G. Cave, Kathleen C. Yu, Janos Farkas