Utilizing Etch-stop Layer Patents (Class 438/634)
  • Patent number: 7719085
    Abstract: A semiconductor device 1 includes an interconnect 12, a conductive layer 14 (first conductive layer), an insulating layer 20 (first insulating layer), another conductive layer 30 (second conductive layer), another insulating layer 40 (second insulating layer), a via plug 52 (first via plug), and another via plug 54 (second via plug). In the semiconductor device 1, the conductive layer 14, the insulating layer 20 and the conductive layer 30 constitute a MIM capacitor (capacitor element). To be more detailed, the conductive layer 14, the insulating layer 20 and the conductive layer 30 serve as a lower electrode, an insulating capacitor film and an upper electrode, respectively. The insulating layer 40 covers both the conductive layer 30 and the interconnect 12. The insulating layer 40 works as the etching stopper for the via plugs 52, 54.
    Type: Grant
    Filed: July 11, 2006
    Date of Patent: May 18, 2010
    Assignee: NEC Electronics Corporation
    Inventors: Takuji Onuma, Yasutaka Nakashiba
  • Patent number: 7709349
    Abstract: In one aspect, there is provided a method of manufacturing a semiconductor device that comprises placing a blocking layer, a CMP stop layer and a bulk oxide layer over an oxide cap layer that is located over gate structures and source/drains located adjacent thereto. The bulk oxide layer and the CMP stop layer are removed with a CMP process to expose the top of gate electrodes and are removed from over the source/drain areas with a wet etch. The CMP stop layer has a CMP removal rate that is less than a CMP removal rate of the bulk oxide layer and has a wet etch removal rate that is greater than a wet etch removal rate of the blocking layer.
    Type: Grant
    Filed: May 18, 2007
    Date of Patent: May 4, 2010
    Assignee: Texas Instruments Incorporated
    Inventor: Mark R. Visokay
  • Patent number: 7704869
    Abstract: A method of forming a high aspect ratio via opening through multiple dielectric layers, a high aspect ratio electrically conductive via, methods of forming three-dimension integrated circuits, and three-dimensional integrated circuits. The methods include forming a stack of at least four dielectric layers and etching the first and third dielectric layers with processes selective to the second and fourth dielectric layers, etching the second and third dielectric layers with processes selective to the first and second dielectric layers. Advantageously the process used to etch the third dielectric layer is not substantially selective to the first dielectric layer.
    Type: Grant
    Filed: September 11, 2007
    Date of Patent: April 27, 2010
    Assignee: International Business Machines Corporation
    Inventors: Douglas C. La Tulipe, Jr., Mark Todhunter Robson
  • Patent number: 7704892
    Abstract: A semiconductor device having a local interconnection layer and a method for manufacturing the same are provided. A local interconnection layer is formed in an interlayer dielectric (ILD) layer on an isolation layer and a junction layer, for covering a semiconductor substrate, the isolation layer, and a gate pattern. An etch stopper pattern having at least one layer for preventing the etching of the isolation layer is formed under the local interconnection layer. The etch stopper pattern having at least one layer for preventing the etching of the isolation layer can be included when forming the local interconnection layer, thereby preventing leakage current caused by the etching of the isolation layer, improving the electrical characteristics of a semiconductor device, and improving the yield of a process of manufacturing a semiconductor device.
    Type: Grant
    Filed: September 7, 2006
    Date of Patent: April 27, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-kyun Nam, Heon-jong Shin, Hyung-tae Ji
  • Patent number: 7701019
    Abstract: An exemplary embodiment relates to a method for forming a metal oxide semiconductor field effect transistor (MOSFET). The method includes providing a substrate having a gate formed above the substrate and performing at least one of the following depositing steps: depositing a spacer layer and forming a spacer around a gate and gate insulator located above a layer of silicon above the substrate; depositing an etch stop layer above the spacer, the gate, and the layer of silicon; and depositing a dielectric layer above the etch stop layer. At least one of the depositing a spacer layer, depositing an etch stop layer, and depositing a dielectric layer comprises high compression deposition which increases in tensile strain in the layer of silicon.
    Type: Grant
    Filed: February 17, 2006
    Date of Patent: April 20, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh V. Ngo, Paul R. Besser, Ming Ren Lin, Haihong Wang
  • Patent number: 7701652
    Abstract: A mounting process/device for mounting and alignment of micro optical electro-mechanical systems (MOEMS) elements and/or devices. The mounting process/device can be obtained by attaching at least one optical element onto a mounting system, which contains an embedded optical element. The attached optical element(s) are aligned with respect to the embedded optical element.
    Type: Grant
    Filed: September 22, 2003
    Date of Patent: April 20, 2010
    Assignee: MEMS Optical, Inc.
    Inventor: John S. Harchanko
  • Patent number: 7691738
    Abstract: A metal line in a semiconductor device and fabricating method thereof includes a first contact plug on a substrate, a first insulating interlayer over the substrate including the first contact plug, a first etch stop layer formed over the first insulating interlayer; a trench in the first insulating interlayer and the first etch stopper layer, a metal line in the trench, the metal line including a second contact plug projecting from the trench, wherein the metal line and the trench are formed as a single body, and a second insulating interlayer over the substrate including the metal line and the second contact plug.
    Type: Grant
    Filed: October 20, 2008
    Date of Patent: April 6, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Hee-Bae Lee
  • Patent number: 7687324
    Abstract: The present invention relates to a semiconductor device, comprising a plurality of word lines arranged on a semiconductor substrate, wherein plurality of word lines are grouped into groups of two word lines, a spacer dielectric layer formed between each group of two word lines, and an interlayer dielectric layer formed so as to fill the area between the word lines in each group of two word lines and cover the word lines and the spacer dielectric layers.
    Type: Grant
    Filed: September 7, 2007
    Date of Patent: March 30, 2010
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Jae Young Choi
  • Patent number: 7678690
    Abstract: By providing additional etch stop layers and/or etch protection layers, a corresponding etch process for forming contact openings for directly connecting polysilicon lines and active areas may be controlled in a highly reliable manner. Consequently, conductive line erosion and/or penetration into extension regions may be significantly reduced, thereby improving the reliability and performance of corresponding semiconductor devices.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: March 16, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ralf Richter, Carsten Peters, Heike Salz, Matthias Schaller
  • Patent number: 7666789
    Abstract: In methods of manufacturing a variable resistance structure and a phase-change memory device, after forming a first insulation layer on a substrate having a contact region, a contact hole exposing the contact region is formed through the first insulation layer. After forming a first conductive layer on the first insulation layer to fill up the contact hole, a first protection layer pattern is formed on the first conductive layer. The first conductive layer is partially etched to form a contact and to form a pad on the contact. A second protection layer is formed on the first protection layer pattern, and then an opening exposing the pad is formed through the second protection layer and the first protection layer pattern. After formation of a first electrode, a phase-change material layer pattern and a second electrode are formed on the first electrode and the second protection layer.
    Type: Grant
    Filed: July 6, 2006
    Date of Patent: February 23, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Suk-Hun Choi, Chang-Ki Hong, Yoon-Ho Son, Jang-Eun Heo
  • Patent number: 7659160
    Abstract: The present invention relates to an field effect transistor (FET) comprising an inverted source/drain metallic contact that has a lower portion located in a first, lower dielectric layer and an upper portion located in a second, upper dielectric layer. The lower portion of the inverted source/drain metallic contact has a larger cross-sectional area than the upper portion. Preferably, the lower portion of the inverted source/drain metallic contact has a cross-sectional area ranging from about 0.03 ?m2 to about 3.15 ?m2, and such an inverted source/drain metallic contact is spaced apart from a gate electrode of the FET by a distance ranging from about 0.001 ?m to about 5 ?m.
    Type: Grant
    Filed: October 24, 2007
    Date of Patent: February 9, 2010
    Assignee: International Business Machines Corporation
    Inventors: Michael P. Belyansky, Dureseti Chidambarrao, Lawrence A. Clevenger, Kaushik A. Kumar, Carl Radens
  • Patent number: 7655562
    Abstract: A method of manufacturing a semiconductor device is disclosed. In the method of manufacturing the semiconductor device, a first insulating layer is formed on a semiconductor substrate. A metal line layer and an etch-stop layer are formed over the first insulating layer. The etch-stop layer and the metal line layer are patterned to form a metal line. A second insulating layer is formed on the first insulating layer and the etch-stop layer. A first etch process for etching part of the second insulating layer is performed by using a first etch gas so that the etch-stop layer is exposed. A second etch process for removing the etch-stop layer is performed by using a second etch gas so that the metal line is exposed.
    Type: Grant
    Filed: May 4, 2007
    Date of Patent: February 2, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Min Chul Gil
  • Patent number: 7655569
    Abstract: The invention prevents a wiring layer in a memory region from being exposed to prevent a change in wire resistance and degradation of reliability. A SiO2 film as an etching stopper film which transmits ultraviolet light is formed on pad electrodes and an interlayer insulation film. Then, the SiO2 film on the pad electrodes is etched selectively and the SiO2 film in an EPROM region is left. A silicon nitride film and a polyimide film are then formed on the SiO2 film and on the pad electrodes where the SiO2 film is removed, as a protection film which does not transmit ultraviolet light. The silicon nitride film and the polyimide film on the pad electrodes and in the EPROM region are then selectively removed by etching. Since the SiO2 film functions as an etching stopper at this time, the interlayer insulation film under the SiO2 film is prevented from being etched and a control gate line metal layer is prevented from being exposed.
    Type: Grant
    Filed: January 28, 2008
    Date of Patent: February 2, 2010
    Assignees: Sanyo Electric Co., Ltd., Sanyo Semiconductor Co., Ltd.
    Inventors: Yuichi Inaba, Yutaka Yamada, Shigehiro Morikawa
  • Patent number: 7648871
    Abstract: The present invention relates to an field effect transistor (FET) comprising an inverted source/drain metallic contact that has a lower portion located in a first, lower dielectric layer and an upper portion located in a second, upper dielectric layer. The lower portion of the inverted source/drain metallic contact has a larger cross-sectional area than the upper portion. Preferably, the lower portion of the inverted source/drain metallic contact has a cross-sectional area ranging from about 0.03 ?m2 to about 3.15 ?m2, and such an inverted source/drain metallic contact is spaced apart from a gate electrode of the FET by a distance ranging from about 0.001 ?m to about 5 ?m.
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: January 19, 2010
    Assignee: International Business Machines Corporation
    Inventors: Michael P. Belyansky, Dureseti Chidambarrao, Lawrence A. Clevenger, Kaushik A. Kumar, Carl Radens
  • Patent number: 7601610
    Abstract: A process for the realization of a high integration density power MOS device includes the following steps of: providing a doped semiconductor substrate with a first type of conductivity; forming, on the substrate, a semiconductor layer with lower conductivity; forming, on the semiconductor layer, a dielectric layer of thickness comprised between 3000 and 13000 A (Angstroms); depositing, on the dielectric layer, a hard mask layer; masking the hard mask layer by means of a masking layer; etching the hard mask layers and the underlying dielectric layer for defining a plurality of hard mask portions to protect said dielectric layer; removing the masking layer; isotropically and laterally etching said dielectric layer forming lateral cavities in said dielectric layer below said hard mask portions; forming a gate oxide of thickness comprised between 150 and 1500 A (Angstroms) depositing a conductor material in said cavities and above the same to form a recess spacer, which is totally aligned with a gate structure c
    Type: Grant
    Filed: November 21, 2005
    Date of Patent: October 13, 2009
    Assignee: STMicroelectronics, S.r.L.
    Inventors: Giuseppe Arena, Giuseppe Ferla, Marco Camalleri
  • Patent number: 7602032
    Abstract: A memory and method of making a memory is disclosed. In one embodiment, the memory includes a cap structure for a magnetoresistive random access memory device including an etch stop layer formed over an upper magnetic layer of a magnetoresistive junction (MTJ/MCJ) layered structure and a hardmask layer formed over said etch stop layer, wherein said etch stop layer is selected from a material such that an etch chemistry used for removing said hardmask layer has selectivity against etching said etch stop layer material. In a method of opening the hardmask layer, an etch process to remove exposed portions of the hardmask layer is implemented, where the etch process terminates on the etch stop layer.
    Type: Grant
    Filed: April 29, 2005
    Date of Patent: October 13, 2009
    Assignees: Altis Semiconductor SNC, Infineone Technologies AG
    Inventors: Ulrich Klostermann, Chanro Park, Wolfgang Raberg
  • Patent number: 7557034
    Abstract: For simplifying the dual-damascene formation steps of a multilevel Cu interconnect, a formation step of an antireflective film below a photoresist film is omitted. Described specifically, an interlayer insulating film is dry etched with a photoresist film formed thereover as a mask, and interconnect trenches are formed by terminating etching at the surface of a stopper film formed in the interlayer insulating film. The stopper film is made of an SiCN film having a low optical reflectance, thereby causing it to serve as an antireflective film when the photoresist film is exposed.
    Type: Grant
    Filed: June 18, 2008
    Date of Patent: July 7, 2009
    Assignee: Renesas Technology Corp.
    Inventors: Katsuhiko Hotta, Kyoko Sasahara
  • Patent number: 7553759
    Abstract: A semiconductor device may include the following. A diffusion barrier formed over a semiconductor substrate having a conductive layer. An etching stop layer formed over a diffusion barrier. Inter-metal dielectric (IMD) layers (e.g. having via holes formed over an etching stop layer and trenches wider than the via holes). Metal interconnections that fill via holes and trenches. Via holes in IMD layers may pass through a diffusion barrier and an etching stop layer to connect to a conductive layer in a semiconductor substrate.
    Type: Grant
    Filed: December 6, 2006
    Date of Patent: June 30, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Hyuk Park
  • Patent number: 7553758
    Abstract: Method of Fabricating Interconnections of a Microelectronic Device Using a Dual Damascene Process. A method of fabricating interconnections of a microelectronic device includes preparing a semiconductor substrate comprising a lower dielectric layer and a lower interconnection, forming an etch stopper layer and an interlayer dielectric layer on the semiconductor substrate, forming a via hole in the interlayer dielectric layer so that the etch stopper layer is exposed through the via hole, performing carbon doping on the etch stopper layer, performing trench etching to form a trench in the interlayer dielectric layer so that the trench overlaps part of the via hole, removing the carbon-doped etch stopper layer, and filling the via hole and the trench with a conductive material to form an upper interconnection.
    Type: Grant
    Filed: September 18, 2006
    Date of Patent: June 30, 2009
    Assignees: Samsung Electronics Co., Ltd., Chartered Semiconductor Manufacturing Ltd., International Business Machines Corporation
    Inventors: Wan-jae Park, Hyung-yoon Choi, Yi-hsiung Lin, Tong Qing Chen
  • Patent number: 7544617
    Abstract: A method for control of chemical mechanical polishing of a pattern dependant non-uniform wafer surfaces in a die scale wherein the die in the wafer surface have a plurality of zones of different heights and different pattern densities is provided. The method provides for varying pressure applied to the die both spatially and temporally to reduce both local and global step height variations. In one embodiment, pressure is varied both spatially and temporally using a look ahead algorithm. The algorithm looks ahead and recalculates/modifies the pressure values by identifying the step heights that could be formed after a specified time step. The final surface predictions have improved uniformity on the upper surface as well as on the step heights across the entire die.
    Type: Grant
    Filed: June 23, 2006
    Date of Patent: June 9, 2009
    Assignee: Iowa State University Research Foundation, Inc.
    Inventors: Abhijit Chandra, Muthukkumar Kadavasal, Sutee Eamkajornsiri
  • Patent number: 7538025
    Abstract: A method of forming a dual damascene opening comprising the following steps. A structure having an overlying exposed conductive layer formed thereover is provided. A dielectric layer is formed over the exposed conductive layer. An anti-reflective coating layer is formed over the dielectric layer. The anti-reflective layer and the dielectric layer are etched using a via opening process to form an initial via exposing a portion of the conductive layer. A protective film portion is formed over at least the exposed portion of the conductive layer. The anti-reflective coating layer and the dielectric layer are patterned to reduce the initial via to a reduced via and to form a trench opening substantially centered over the reduced via. The trench opening and the reduced via comprising the dual damascene opening.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: May 26, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chao-Cheng Chen, Chen-Nan Yeh, Chien-Chung Fu
  • Patent number: 7534642
    Abstract: In methods of manufacturing an image device, a first structure including a transparent lower portion and an opaque upper portion is formed on a substrate having a photodiode. An etch stop layer pattern positioned over the photodiode is formed on the first structure. A second structure having at least one opaque capping layer is formed on the first structure to cover the etch stop layer pattern. An opening partially exposing the lower portion of the first structure is formed over the photodiode by etching the second structure, the etch stop layer pattern and the opaque upper portion of the first structure.
    Type: Grant
    Filed: December 20, 2005
    Date of Patent: May 19, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Ja-Young Choi
  • Patent number: 7534711
    Abstract: System and method for direct etching. According to an embodiment, the present invention provides a method for manufacturing an integrated circuit device. The method includes a step for providing a substrate having a contact region, which is provided between a first word line and a second word line. The contact region has an overlying plug structure, which is provided within a thickness of a first dielectric layer. The first dielectric layer includes a portion overlying the plug structure. The first dielectric layer has a planarized surface region. The method also includes a step for forming a first line and a second line and a space provided between the first word line and the second world line. The space is provided within a region overlying the plug structure.
    Type: Grant
    Filed: December 23, 2006
    Date of Patent: May 19, 2009
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Jingang Wu, Fei Luo, Guanqie Gao, Cheng Yang
  • Patent number: 7531448
    Abstract: A manufacturing method of a dual damascene structure is provided. First, a barrier layer, a first dielectric layer, a second dielectric layer, a cap layer, a metal-containing hard mask layer, a dielectric hard mask layer, a first bottom anti-reflection coating (BARC) layer and a first photoresist layer are sequentially formed over the substrate. Next, the patterned first photoresist layer is used as a mask during an etch process to form a first trench structure. A second BARC layer is formed to fill the first trench structure and to cover the surface of the dielectric hard mask layer. A second photoresist layer is formed over the second BARC layer. The patterned second photoresist layer is used as a mask during an etch process to form a first via structure. The first trench structure and the first via structure are etched to obtain a second trench structure and a second via structure.
    Type: Grant
    Filed: June 22, 2005
    Date of Patent: May 12, 2009
    Assignee: United Microelectronics Corp.
    Inventor: Chih-Jung Wang
  • Patent number: 7524757
    Abstract: A method for manufacturing a multi-level transistor on a substrate. The method includes forming a first transistor on a first active region, forming a first selective epitaxial growth (SEG) layer on the substrate, and forming a preliminary second SEG layer and a dummy layer, wherein the preliminary second SEG layer is formed directly on only the first SEG layer and a portion of the first insulating layer formed on the cell region of the substrate, and wherein the dummy layer is formed on the peripheral region of the substrate. The method further includes planarizing the preliminary second SEG layer using the dummy layer as a stop layer to form a second SEG layer, forming a second active region from the second SEG layer formed on a first insulating layer, and forming a second transistor on the second active region.
    Type: Grant
    Filed: July 13, 2006
    Date of Patent: April 28, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-jun Kim, Chang-ki Hong, Bo-un Yoon, Jae-kwang Choi
  • Patent number: 7524752
    Abstract: In a method of manufacturing a semiconductor device which method is made up of a process of forming a wiring groove using a hard mask, a metal hard mask 107 is used to form a wiring groove 111, allowing the shape of the wiring groove 111 to be stabilized. Furthermore, a part or all of the metal hard mask 107 is removed before the formation of TaN and Cu layers in the wiring groove 111. This enables a reduction in possible damage, which may increase the dielectric constant of the surface of low-dielectric-constant film, and thus in possible inter-wire leakage current. As a result, a reliable semiconductor device can be provided.
    Type: Grant
    Filed: June 10, 2008
    Date of Patent: April 28, 2009
    Assignee: Panasonic Corporation
    Inventor: Makoto Tsutsue
  • Patent number: 7521357
    Abstract: A method of forming a metal wiring in a semiconductor device can include forming an etch stop layer outside a contact hole formed in an insulation layer and avoiding forming the etch stop layer inside the contact hole. A conductive layer can be formed on the etch stop layer outside the contact hole and on an exposed conductive pattern inside the contact hole and on a sidewall of the contact hole and a metal layer can be formed on the conductive layer to fill the contact hole.
    Type: Grant
    Filed: February 23, 2005
    Date of Patent: April 21, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-woo Lee, Gil-heyun Choi, Sang-bom Kang, Jong-myeong Lee, Jin-ho Park
  • Patent number: 7521348
    Abstract: A method for fabricating a semiconductor device having fine contact holes is exemplarily disclosed. The method includes forming an isolation layer defining active regions on a semiconductor substrate. An interlayer dielectric layer is formed on the semiconductor substrate having the isolation layer. First molding patterns are formed on the interlayer dielectric layer. Second molding patterns positioned between the first molding patterns and spaced apart therefrom are also formed. A mask pattern surrounding sidewalls of the first and second molding patterns is formed. Openings are formed by removing the first and second molding patterns. Contact holes are formed by etching the interlayer dielectric layer using the mask pattern as an etching mask.
    Type: Grant
    Filed: October 12, 2007
    Date of Patent: April 21, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Hyun Kwon, Jae-Hwang Sim, Dong-Hwa Kwak, Joo-Young Kim
  • Patent number: 7507657
    Abstract: Disclosed is a method for fabricating a plurality of storage node contacts in a semiconductor device capable of minimizing an influence of a slurry residue and planarizing cruspidal patterns caused during a storage node contact isolation process. In accordance with the present invention, a chemical mechanical polishing (CMP) process that is the last process of the storage node contact isolation process is performed by using the slurry without the selectivity or the reverse selectivity, thereby removing the plurality of cruspidal patterns at every interface of the plurality of bit line patterns BL and the plurality of storage node contacts.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: March 24, 2009
    Assignee: Hynix Semiconductor, Inc.
    Inventors: Cheol-Hwi Ryu, Jong-Han Shin
  • Patent number: 7504287
    Abstract: A method is provided for fabricating a semiconductor device which includes a first contact point and a second contact point located above the first contact point. A first material layer is conformally deposited over the contact points, and a second material layer is deposited. A photoresist layer is applied and patterned to leave remaining portions. The remaining portions are trimmed to produce trimmed remaining portions which overlie eventual contact holes to the contact points. Using the trimmed remaining portions as an etch mask, exposed portions the second material layer are etched away to leave sacrificial plugs. The sacrificial plugs are etched away to form contact holes that reach portions the first material layers. Another etching step is performed to extend the contact holes to produce final contact holes that extend to the contact points.
    Type: Grant
    Filed: March 22, 2007
    Date of Patent: March 17, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Sven Beyer, Kamatchi Subramanian
  • Patent number: 7476612
    Abstract: In embodiments, a method for manufacturing a semiconductor device may include forming a diffusion preventing layer on a semiconductor substrate having a conductive layer, forming an intermetallic insulating layer on the diffusion preventing layer, forming a trench photo resist layer formed above the intermetallic insulating layer of a first photo resist material, forming a via hole photo resist layer of a second photo resist material at an upper portion and a sidewall in a contact hole of the trench photo resist layer, etching the intermetallic insulating layer and the diffusion preventing layer using the via hole photo resist layer and the trench photo resist layer to substantially simultaneously form a via hole and a trench, and filling the via hole and the trench with a metal thin film to form a metal line.
    Type: Grant
    Filed: November 28, 2006
    Date of Patent: January 13, 2009
    Inventor: Su Kon Kim
  • Patent number: 7459396
    Abstract: A method for depositing a Ru metal layer on a patterned substrate from a film precursor vapor delivered from a multi-tray film precursor evaporation system. The method comprises providing a patterned substrate in a process chamber of a deposition system, and forming a process gas containing Ru3(CO)12 precursor vapor and a carrier gas comprising CO gas. The process gas is formed by: providing a solid Ru3(CO)12 precursor in a plurality of spaced trays within a precursor evaporation system, wherein each tray is configured to support the solid precursor and wherein the plurality of spaced trays collectively provide a plurality of surfaces of solid precursor; heating the solid precursor in the plurality of spaced trays in the precursor evaporation system to a temperature greater than about 60° C.
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: December 2, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Kenji Suzuki, Emmanuel P. Guidotti, Gerrit J. Leusink, Masamichi Hara, Daisuke Kuroiwa
  • Patent number: 7435682
    Abstract: Disclosed is a method of manufacturing a semiconductor device comprising forming an insulating film above a substrate, forming a recess in the insulating film, successively forming an underlying layer, an immediate layer and a resist film above the insulating film having the recess formed thereon, the underlying layer being formed by a process comprising forming a first organic film above the insulating film, chemically mechanically polishing the first organic film to expose a surface of the insulating film and to remain the first organic film selectively in the recess, and forming a second organic film above the insulating film and above the first organic film, and subjecting the resist film to patterning exposure.
    Type: Grant
    Filed: May 2, 2005
    Date of Patent: October 14, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yukiteru Matsui, Gaku Minamihaba, Atsushi Shigeta, Hiroyuki Yano, Satoko Seta, Hirokazu Kato
  • Patent number: 7364924
    Abstract: An electroluminescence (EL) device and a method are provided for fabricating said device with a nanotip electrode. The method comprises: forming a bottom electrode with nanotips; forming a Si phosphor layer adjacent the nanotips; and, forming a transparent top electrode. The Si phosphor layer is interposed between the bottom and top electrodes. The nanotips may have a tip base size of about 50 nanometers, or less, a tip height in the range of 5 to 50 nm, and a nanotip density of greater than 100 nanotips per square micrometer. Typically, the nanotips are formed from iridium oxide (IrOx) nanotips. A MOCVD process forms the Ir bottom electrode. The IrOx nanotips are grown from the Ir. In one aspect, the Si phosphor layer is a SRSO layer. In response to an SRSO annealing step, nanocrystalline SRSO is formed with nanocrystals having a size in the range of 1 to 10 nm.
    Type: Grant
    Filed: February 17, 2005
    Date of Patent: April 29, 2008
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Sheng Teng Hsu, Fengyan Zhang, Gregory M. Stecker, Robert A. Barrowcliff
  • Patent number: 7361605
    Abstract: In processing an integrated circuit structure including a contact arrangement that is initially covered by a stop layer, a first plasma is used to etch to form openings through an overall insulation layer covered by a patterned layer of photoresist such that one contact opening is associated with each contact. Stripping of the patterned layer of photoresist and related residues is performed. After stripping, the stop layer is removed from the contacts. In one feature, the stop layer is removed from the contacts by etching the stop layer using a plasma that is generated from a plasma gas input that includes hydrogen and essentially no oxygen. In another feature, the photoresist is stripped after the stop layer is removed. Stripping the patterned layer of photoresist and the related residues is performed, in this case, using a plasma that is formed predominantly including hydrogen without oxygen.
    Type: Grant
    Filed: January 19, 2005
    Date of Patent: April 22, 2008
    Assignee: Mattson Technology, Inc.
    Inventors: Stephen E. Savas, Wolfgang Helle
  • Patent number: 7361587
    Abstract: The present invention is a semiconductor contact formation system and methods that form contact insulation regions comprising multiple etch stop sublayers that facilitate formation of contacts. This contract formation process provides relatively small substrate connections while addressing critical lithographic printing limitation concerns in forming contact holes with small dimensions. In one embodiment, a multiple etch stop contact formation process in which a multiple etch stop insulation layer comprising multiple etch stop layers is deposited. A contact region is formed in the multiple etch stop insulation layer by selectively removing (e.g., etching) some of the multiple etch stop insulation layer. In one embodiment a larger portion of the multiple etch stop insulation layer is removed close to the metal layer and a smaller portion is removed closer to the substrate.
    Type: Grant
    Filed: September 2, 2004
    Date of Patent: April 22, 2008
    Assignee: Spansion, LLC
    Inventors: Wenmei Li, Angela T. Hui, Dawn Hopper, Kouros Ghandehari
  • Patent number: 7354855
    Abstract: For simplifying the dual-damascene formation steps of a multilevel Cu interconnect, a formation step of an antireflective film below a photoresist film is omitted. Described specifically, an interlayer insulating film is dry etched with a photoresist film formed thereover as a mask, and interconnect trenches are formed by terminating etching at the surface of a stopper film formed in the interlayer insulating film. The stopper film is made of an SiCN film having a low optical reflectance, thereby causing it to serve as an antireflective film when the photoresist film is exposed.
    Type: Grant
    Filed: June 16, 2006
    Date of Patent: April 8, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Katsuhiko Hotta, Kyoko Sasahara
  • Patent number: 7351635
    Abstract: Methods of fabricating a microelectronic device having improved performance characteristics are disclosed which are characterized by using super critical fluid to perform a material removal step. In one illustrative embodiment, the method includes preparing a substrate, forming an HSQ layer covering at least a portion of the substrate, and thereafter removing at least portions of the HSQ layer using super critical fluid CO2.
    Type: Grant
    Filed: January 12, 2005
    Date of Patent: April 1, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Cheol Han, Jun-Hwan Oh
  • Patent number: 7341937
    Abstract: Disclosed is a semiconductor device having a precision-worked dual damascene structure. A semiconductor substrate is obtained by forming at least a first interlayer film, an etching stopper film, a second interlayer film, a first hard mask and a second hard mask on a substrate in the order mentioned, the second hard mask being formed to have a trench pattern. At least a light absorbing sacrificial film, which has an etching rate different from that of a photoresist and is removable by use of a stripping solution, is formed on the semiconductor substrate in such a manner that the overall surface thereof will be flat. The photoresist is formed on the light absorbing sacrificial film and has an aperture pattern whose opening width is less than that of the trench pattern. At least the light absorbing sacrificial film, the first hard mask and the second interlayer film are etched selectively, one after the other, using the photoresist as an etching mask.
    Type: Grant
    Filed: July 6, 2005
    Date of Patent: March 11, 2008
    Assignee: NEC Electronics Corporation
    Inventors: Koji Arita, Masayoshi Tagami, Hidenobu Miyamoto
  • Patent number: 7335598
    Abstract: A chemical-mechanical polishing process for forming a metallic interconnect includes the steps of providing a semiconductor substrate having a first metallic line thereon, and then forming a dielectric layer over the substrate and the first metallic line. Next, a chemical-mechanical polishing method is used to polish the surface of the dielectric layer. Thereafter, a thin cap layer is formed over the polished dielectric layer. The thin cap layer having a thickness of between 1000-3000 Angstroms can be, for example, a silicon dioxide layer, a phosphosilicate glass layer or a silicon-rich oxide layer. The method of forming the cap layer includes depositing silicon oxide using a chemical vapor deposition method with silicane (SiH4) or tetra-ethyl-ortho-silicate (TEOS) as the main reactive agent. Alternatively, the cap layer can be formed by depositing silicon nitride using a chemical vapor deposition method with silicane or silicon dichlorohydride (SiH2Cl2) as the main reactive agent.
    Type: Grant
    Filed: April 19, 2005
    Date of Patent: February 26, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Kun-Lin Wu, Meng-Jin Tsai
  • Patent number: 7335584
    Abstract: A method is provided for using SACVD deposition to deposit at least one layer of dielectric material inside a deposition reactor during the fabrication of at least one semiconductor integrated circuit. According to the method, a reaction chamber is provided for carrying out SACVD deposition, and a stream of a first reaction gas containing oxygen plasma is supplied into a gas feed conduit connected to the reaction chamber. Microwaves are applied inside the gas feed conduit in order to produce sufficient oxygen radicals from the oxygen plasma, the oxygen radicals being necessary to initiate SACVD deposition. A stream of a second reaction gas is supplied into the reaction chamber, with the second reaction gas being suitable to initiate SACVD deposition when reacting with oxygen radicals.
    Type: Grant
    Filed: October 24, 2003
    Date of Patent: February 26, 2008
    Assignee: STMicroelectronics S.r.l.
    Inventor: Michele Vulpio
  • Patent number: 7326645
    Abstract: Methods for forming a copper interconnect of a semiconductor device are disclosed. A disclosed method comprises forming a lower metal interconnect; sequentially depositing a capping layer, a first insulating layer, and a second insulating layer on the lower metal interconnect; forming a via hole by etching the first insulating layer and the second insulating layer; forming a trench and terraces by etching the second insulating layer; and exposing at least a portion of the top surface of the lower metal interconnect by etching the capping layer.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: February 5, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Joon Bum Shim
  • Patent number: 7300840
    Abstract: A method for fabricating an MIM capacitor is disclosed. First, a substrate is provided having a first dielectric layer thereon. Next at least one first damascene conductor is formed within the first dielectric layer, and a second dielectric layer with a capacitor opening is formed on the first dielectric layer, in which the capacitor opening is situated directly above the first damascene conductor. Next, an MIM capacitor having a top plate and a bottom plate is created within the capacitor opening, in which the bottom plate of the MIM capacitor is electrically connected to the first damascene conductor. Next, a third dielectric layer is deposited on the second dielectric layer and the MIM capacitor, and at least one second damascene conductor is formed within part of the third dielectric layer, in which the second damascene conductor is electrically connected to the top plate of the MIM capacitor.
    Type: Grant
    Filed: April 1, 2005
    Date of Patent: November 27, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Chun-Yi Lin, Chien-Chou Hung
  • Patent number: 7291553
    Abstract: A method for forming a dual damascene with improved profiles including providing a semiconductor process wafer including a dielectric insulating layer and an overlying hardmask layer; forming an uppermost layer of amorphous carbon substantially conformally over the hardmask layer; forming a trench line opening through at least the thickness of the amorphous carbon layer; forming a dual damascene opening comprising forming the trench line opening overlying a via opening pattern through a thickness of the hardmask layer and partially through a thickness of the dielectric insulating layer; and, filling the dual damascene opening with metal.
    Type: Grant
    Filed: March 8, 2005
    Date of Patent: November 6, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Cheng-Ku Chen, Min-Hwa Chi
  • Patent number: 7288476
    Abstract: The controlled etch into a substrate or thick homogeneous film is accomplished by introducing a sacrificial film to gauge the depth to which the substrate/thick film has been etched. Optical endpointing the etch of the sacrificial film on the etch stop layer allows another element of process control over the depth of the primary trench or via.
    Type: Grant
    Filed: January 26, 2005
    Date of Patent: October 30, 2007
    Assignee: Avago Technologies General IP Pte. Ltd.
    Inventor: Ronnie P. Varghese
  • Patent number: 7282447
    Abstract: A process is provided for forming vertical contacts in the manufacture of integrated circuits and devices. The process eliminates the need for precise mask alignment and allows the etch of the contact hole to be controlled independent of the etch of the interconnect trough. The process includes forming an insulating layer on the surface of a substrate; forming an etch stop layer on the surface of the insulating layer; forming an opening in the etch stop layer; etching to a first depth through the opening in the etch stop layer and into the insulating layer to form an interconnect trough; forming a photoresist mask on the surface of the etch stop layer and in the trough; and continuing to etch through the insulating layer until reaching the surface of the substrate to form a contact hole. The process may be repeated during the formation of multilevel metal integrated circuits.
    Type: Grant
    Filed: August 19, 2004
    Date of Patent: October 16, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Charles H Dennison, Trung T. Doan
  • Patent number: 7279410
    Abstract: A method for forming an inlaid interconnect structure for ICs. The method includes forming an etch stop layer, opening a portion of the etch stop layer on an IC die, forming a dielectric layer and cap layer over the etch stop layer, forming a photoresist pattern, and etching the cap and dielectric to form an opening that is then filled with a conductive material (e.g., metal). The method may further include forming a barrier layer within the opening of the etch stop layer. According to another aspect of the invention, a first and second etch stop layer are formed over the substrate and the second etch stop layer is patterned to define two regions, wherein a second region having the first and second etch stop layers experiences a faster etch rate than the first region. The dielectric layer and cap layers are then deposited over both regions and two via or trench openings are formed therethrough in the regions, respectively.
    Type: Grant
    Filed: March 5, 2003
    Date of Patent: October 9, 2007
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Lynne A. Okada, Fei Wang, James Kai
  • Patent number: 7271087
    Abstract: A dual damascene interconnection in a semiconductor device is formed to be capable of preventing fluorine (F) component from being diffused through sidewalls of a via hole and a trench. The dual damascene interconnection includes a lower metal interconnection film, an intermetal insulating film having a via hole and a trench and formed on the lower metal interconnection film, first and second insulative spacer films formed on sidewalls of the via hole and the trench, respectively, a barrier metal layer covering the first and second insulative spacer films and the lower metal interconnection film in the via hole and the trench, and an upper metal interconnection film formed on the barrier metal layer, the via hole and the trench being filled with the upper metal interconnection film.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: September 18, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: In-Kyu Chun
  • Patent number: 7262120
    Abstract: A method for fabricating a metal line in a semiconductor device is provided. The method includes: forming an inter-layer insulation layer on a substrate; forming a contact hole by etching the inter-layer insulation layer; forming a metal layer on the inter-layer insulation layer and the contact hole; etching a portion of the metal layer through performing a first etching process; and etching a remaining portion of the metal layer through performing a second etching process until the surface of the inter-layer insulation layer is exposed and a bottom portion of the metal line is sloped.
    Type: Grant
    Filed: December 9, 2005
    Date of Patent: August 28, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Ki-Won Nam
  • Patent number: 7256502
    Abstract: A metal interconnection for an integrated circuit device is fabricated by forming a trench in an integrated circuit substrate and a via hole beneath a portion of the trench. The trench includes a trench sidewall and the via hole includes a sacrificial film therein. A buffer layer is formed on the trench sidewall. At least some of the sacrificial film is removed from the via hole by etching the sacrificial film through the trench that includes the buffer layer on the trench sidewall. The metal interconnection is formed in the via hole from which at least some of the sacrificial film has been removed, and in the trench. The buffer layer may use material having etch selectivity to an etchant which is used when removing the sacrificial film, to thereby protect the trench sidewall when removing the sacrificial film.
    Type: Grant
    Filed: July 29, 2004
    Date of Patent: August 14, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jin-Sung Chung