Selective Deposition Patents (Class 438/641)
  • Patent number: 7955883
    Abstract: Interdigitated electrode arrays are very promising devices for multi-parameter (bio)sensing, for example the label-free detection of nucleic acid hybridization for diagnostic applications. The current disclosure provides an innovative method for the affordable manufacturing of polymer-based arrays of interdigitated electrodes with ?m-dimensions. The method is based on a combination of an appropriate three-dimensional structure and a single and directional deposition of conductive material. The three-dimensional structure can be realized in a polymer material using a molding step, for which the molds are manufactured by electroplating as a reverse copy of a silicon master structure. In order to ensure sufficient electrical isolation and individual, but convenient, accessibility of the sensors in the array, the interdigitated electrode regions need to be complemented with specific features on the three-dimensional structure. Combined with the use of e.g.
    Type: Grant
    Filed: September 6, 2006
    Date of Patent: June 7, 2011
    Assignees: IMEC, Innogenetics
    Inventors: Wim Laureyn, Jan Suls, Paul Jacobs
  • Patent number: 7884018
    Abstract: A method of forming a noble metal cap on a conductive material embedded in a dielectric material in an interconnect structure. The method includes the step of contacting (i) a conductive material having a bare upper surface partially embedded in a dielectric material and (ii) vapor of a noble metal containing compound, in the presence of carbon monoxide and a carrier gas. The contacting step is carried out at a temperature, pressure and for a length of time sufficient to produce a noble metal cap disposed directly on the upper surface of the conductive material without substantially extending into upper surface of the dielectric material or leaving a noble metal residue onto the dielectric material.
    Type: Grant
    Filed: September 6, 2007
    Date of Patent: February 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Fenton R. McFeely, Chih-Chao Yang
  • Patent number: 7867886
    Abstract: A method, in a complementary metal oxide semiconductor fabrication process, of creating a layered housing containing a micro-electromechanical system device, the method comprising the steps of providing a cavity in at least one layer of the housing, the cavity being accessible through via holes in a layer of insulating material deposited thereon, and the layer of insulating material being covered by a thin film layer of conductive material. The method further comprises the step of hydrophobically treating at least a portion of the inner surface of the cavity. Finally the method comprises the steps of submerging the wafer in an electroplating solution and electroplating a conductive layer onto the thin film layer of conductive material such that the cavity remains free of electroplating solution.
    Type: Grant
    Filed: November 22, 2006
    Date of Patent: January 11, 2011
    Assignee: Cavendish Kinetics, Ltd
    Inventors: Charles Gordon Smith, Robertus P. Van Kampen
  • Patent number: 7863183
    Abstract: The present invention relates to a method for fabricating a semiconductor device with a last level copper-to-C4 connection that is essentially free of aluminum. Specifically, the last level copper-to-C4 connection comprises an interfacial cap structure containing CoWP, NiMoP, NiMoB, NiReP, NiWP, and combinations thereof. Preferably, the interfacial cap structure comprises at least one CoWP layer. Such a CoWP layer can be readily formed over a last level copper interconnect by a selective electroless plating process.
    Type: Grant
    Filed: January 18, 2006
    Date of Patent: January 4, 2011
    Assignee: International Business Machines Corporation
    Inventors: Timothy H. Daubenspeck, William F. Landers, Donna S. Zupanski-Nielsen
  • Patent number: 7834458
    Abstract: A cap layer for a copper interconnect structure formed in a first dielectric layer is provided. In an embodiment, the cap layer may be formed by an in-situ deposition process in which a process gas comprising germanium, arsenic, tungsten, or gallium is introduced, thereby forming a copper-metal cap layer. In another embodiment, a copper-metal silicide cap is provided. In this embodiment, silane is introduced before, during, or after a process gas is introduced, the process gas comprising germanium, arsenic, tungsten, or gallium. Thereafter, an optional etch stop layer may be formed, and a second dielectric layer may be formed over the etch stop layer or the first dielectric layer.
    Type: Grant
    Filed: December 15, 2009
    Date of Patent: November 16, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hui-Lin Chang, Hung Chun Tsai, Yung-Cheng Lu, Syun-Ming Jang
  • Patent number: 7829454
    Abstract: A method for integrating selective Ru metal deposition into manufacturing of semiconductor devices to improve electromigration and stress migration in bulk Cu. The method includes selectively depositing a Ru metal film on a metallization layer or on bulk Cu using a process gas containing Ru3(CO)12 precursor vapor and a CO gas in a thermal chemical vapor deposition process. A semiconductor device containing one or more selectively deposited Ru metal films is described.
    Type: Grant
    Filed: September 11, 2007
    Date of Patent: November 9, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Kenji Suzuki
  • Patent number: 7799676
    Abstract: A method of manufacturing a contact structure to avoid open issue is provided. The method includes the steps of providing a substrate with a contact region, forming an insulating layer to cover the substrate, forming a contact hole in the insulating layer to expose the contact region, conformally depositing a titanium layer on the insulating layer, conformally depositing a titanium nitride layer on the titanium layer, and performing a plasma process on the titanium nitride layer to remove the impurities in the titanium nitride layer.
    Type: Grant
    Filed: April 17, 2007
    Date of Patent: September 21, 2010
    Assignee: Nanya Technology Corp.
    Inventor: Yinan Chen
  • Patent number: 7799407
    Abstract: There is provided a bank structure which partitions off a pattern formation region in which a functional liquid is to be disposed and flow. The pattern formation region includes a first pattern formation region, and a second pattern formation region which is continuously connected to the first pattern formation region and which has a larger width than the first pattern formation region. The second pattern formation region is provided with at least one partition bank which partitions off the second pattern formation region to regulate the flow direction of the functional liquid. A partition width substantially orthogonal to the flow direction of the functional liquid which is regulated by the partition bank is less than ±20% of the width of the first pattern formation region.
    Type: Grant
    Filed: May 12, 2006
    Date of Patent: September 21, 2010
    Assignee: Seiko Epson Corporation
    Inventors: Katsuyuki Moriya, Toshimitsu Hirai
  • Patent number: 7781326
    Abstract: A method of forming a material on a substrate is disclosed. In one embodiment, the method includes forming a tantalum nitride layer on a substrate disposed in a plasma process chamber by sequentially exposing the substrate to a tantalum precursor and a nitrogen precursor, followed by reducing a nitrogen concentration of the tantalum nitride layer by exposing the substrate to a plasma annealing process. A metal-containing layer is subsequently deposited on the tantalum nitride layer.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: August 24, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Sean M. Seutter, Michael X. Yang, Ming Xi
  • Patent number: 7732329
    Abstract: In some embodiments, a workpiece-surface-influencing device preferentially contacts the top surface of the workpiece, to chemically modify the surface at desired field areas of the workpiece without affecting the surfaces of cavities or recesses in the field areas. The device includes a substance which is chemically reactive with material forming the workpiece surface. The substance can be in the form of a thin film or coating which contacts the surface of the workpiece to chemically modify that surface. The workpiece-surface-influencing device can be in the form of a solid state applicator such as a roller or a semi-permeable membrane. In some other embodiments, the cavities are filled with material that prevents surface modification of the cavity surfaces while allowing modification of the field areas, or which encourages surface modification of the cavity surfaces while preventing modification of the field areas. The modified surface facilitates selective deposition of materials on the workpiece.
    Type: Grant
    Filed: August 17, 2007
    Date of Patent: June 8, 2010
    Assignee: IPGRIP, LLC
    Inventor: Vladislav Vasilev
  • Patent number: 7629252
    Abstract: Methods of fabricating interconnect structures utilizing barrier material layers formed with an electroless deposition technique utilizing a coupling agent complexed with a catalytic metal and structures formed thereby. The fabrication fundamentally comprises providing a dielectric material layer having an opening extending into the dielectric material from a first surface thereof, bonding the coupling agent to the dielectric material within the opening, and electrolessly depositing the barrier material layer, wherein the electrolessly deposited barrier material layer material adheres to the catalytic metal of the coupling agent.
    Type: Grant
    Filed: December 23, 2005
    Date of Patent: December 8, 2009
    Assignee: Intel Corporation
    Inventors: Kevin P. O'Brien, Chin-Chang Cheng, Ramanan V. Chebiam, Valery M. Dubin, Sridhar Balakrishnan
  • Patent number: 7629250
    Abstract: A method for forming at least one conductive element is disclosed. Particularly, a semiconductor substrate, including a plurality of semiconductor dice thereon, may be provided and a dielectric layer may be formed thereover. At least one depression may be laser ablated in the dielectric layer and an electrically conductive material may be deposited thereinto. Also, a method for assembling a semiconductor die having a plurality of bond pads and a dielectric layer formed thereover to a carrier substrate having a plurality of terminal pads is disclosed. At least one depression may be laser ablated into the dielectric layer and a conductive material may be deposited thereinto for electrical communication between the semiconductor die and the carrier substrate. The semiconductor die may be affixed to the carrier substrate and at least one of the dielectric layer and the conductive material may remain substantially solid during affixation therebetween. The methods may be implemented at the wafer level.
    Type: Grant
    Filed: November 17, 2006
    Date of Patent: December 8, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Peter A. Benson, Charles M. Watkins
  • Publication number: 20090263964
    Abstract: An interconnect connection structure having first and second interconnects and multiple connection elements that electrically connect the first interconnect to the second interconnect is described. The multiple connection elements are formed laterally in a lateral region of the first and second interconnects relative to an overlay orientation of the interconnects.
    Type: Application
    Filed: June 30, 2009
    Publication date: October 22, 2009
    Inventors: Klaus Goller, Roland Wenzel
  • Patent number: 7575999
    Abstract: A method for forming at least one conductive element is disclosed. Particularly, a semiconductor substrate, including a plurality of semiconductor dice thereon, may be provided and a dielectric layer may be formed thereover. At least one depression may be laser ablated in the dielectric layer and an electrically conductive material may be deposited thereinto. Also, a method for assembling a semiconductor die having a plurality of bond pads and a dielectric layer formed thereover to a carrier substrate having a plurality of terminal pads is disclosed. At least one depression may be laser ablated into the dielectric layer and a conductive material may be deposited thereinto for electrical communication between the semiconductor die and the carrier substrate. The semiconductor die may be affixed to the carrier substrate and at least one of the dielectric layer and the conductive material may remain substantially solid during affixation therebetween. The methods may be implemented at the wafer level.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: August 18, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Peter A. Benson, Charles M. Watkins
  • Patent number: 7563730
    Abstract: Electronic apparatus and methods of forming the electronic apparatus include a hafnium lanthanide oxynitride film on a substrate for use in a variety of electronic systems. The hafnium lanthanide oxynitride film may be structured as one or more monolayers. Metal electrodes may be disposed on a dielectric containing a hafnium lanthanide oxynitride film.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: July 21, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn, Arup Bhattacharyya
  • Patent number: 7560376
    Abstract: Two or more coatings applied to processing elements of a plasma processing system are treated with protective barriers or coatings. A method is described for adjoining two or more coatings on the processing element. Having applied a first protective barrier, a portion of the first protective barrier is treated. A second protective barrier is then applied over at least a portion of a region to which the first protective barrier was applied.
    Type: Grant
    Filed: March 17, 2004
    Date of Patent: July 14, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Gary Escher, Mark A. Allen, Yasuhisa Kudo
  • Patent number: 7544604
    Abstract: Electronic apparatus and methods of forming the electronic apparatus include a tantalum lanthanide oxynitride film on a substrate for use in a variety of electronic systems. The tantalum lanthanide oxynitride film may be structured as one or more monolayers. Metal electrodes may be disposed on a dielectric containing a tantalum lanthanide oxynitride film.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: June 9, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn, Arup Bhattacharyya
  • Patent number: 7517782
    Abstract: By performing an electroless deposition and an electro deposition process in situ, highly reliable metallizations may be provided, wherein limitations with respect to contaminations and device scaling, encountered by conventional chemical vapor deposition (CVD), atomic layer deposition (ALD) and physical vapor deposition (PVD) techniques for the formation of seed layers may be overcome. In some embodiments, a barrier layer is also deposited on the basis of a wet chemical deposition process.
    Type: Grant
    Filed: September 28, 2006
    Date of Patent: April 14, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Axel Preusse, Susanne Wehner, Markus Nopper
  • Patent number: 7517794
    Abstract: One embodiment of the present invention is a method for fabricating a nanoscale shift register. In a described embodiment, a nanoimprinting-resist layer applied above a silicon-on-insulator substrate is nanoimprinted to form troughs and trough segments. The silicon layer exposed at the bottom of the troughs and trough segments is then etched, and a conductive material is deposited into the troughs to form nanowires and into the trough segments to form nanowire segments. The exposed surfaces of nanowires are coated with a protective coating, and the conductive material of the nanowire segments is then removed to produce trough segments etched through the nanoimprinting resist and the silicon layer.
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: April 14, 2009
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Gregory S. Snider, Phillip J. Kuekes
  • Patent number: 7494926
    Abstract: Disclosed herein is a method for forming a highly conductive metal pattern which comprises forming a metal pattern on a substrate by the use of a photocatalyst and a selective electroless or electroplating process, and transferring the metal pattern to a flexible plastic substrate. According to the method, a highly conductive metal pattern can be effectively formed on a flexible plastic substrate within a short time, compared to conventional formation methods. Further disclosed is an EMI filter comprising a metal pattern formed by the method. The EMI filter not only exhibits high performances, but also is advantageous in terms of low manufacturing costs and simple manufacturing process. Accordingly, the EMI filter can be applied to a variety of flat panel display devices, including PDPs and organic ELs.
    Type: Grant
    Filed: December 20, 2004
    Date of Patent: February 24, 2009
    Assignee: Samsung Corning Co., Ltd.
    Inventors: Jin Young Kim, Sung Hen Cho, Ki Yong Song, Chang Ho Noh, Euk Che Hwang
  • Patent number: 7476614
    Abstract: A method of fabricating a semiconductor device comprises sequentially forming a first conductive layer, a first insulating interlayer, a second conductive layer, and a second insulating interlayer on a semiconductor substrate. A mask layer is formed on the second insulating interlayer, and then the second insulating interlayer, the second conductive layer, and the first insulating interlayer are selectively removed using the mask layer as an etch mask to form a contact hole exposing the first conductive layer. Portions of the second conductive layer exposed in sidewalls of the contact hole are then selectively etched to form a recess between the first and second insulating interlayers. Next, a third conductive layer is formed on a bottom surface and on sidewalls of the contact hole, a metal silicide layer is formed to fill the recess, and a fourth conductive layer is formed to fill the contact hole over the metal silicide layer.
    Type: Grant
    Filed: July 24, 2006
    Date of Patent: January 13, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Byung-Ho Kwak, Bum-Soo Chang
  • Publication number: 20080239792
    Abstract: A local interconnect is formed with a gate conductor line that has an exposed sidewall on an active area of a semiconductor substrate. The exposes sidewall comprises a silicon containing material that may form a silicide alloy upon silicidation. During a silicidation process, a gate conductor sidewall silicide alloy forms on the exposed sidewall of the gate conductor line and an active area silicide is formed on the active area. The two silicides are joined to provide an electrical connection between the active area and the gate conductor line. Multiple sidewalls may be exposed on the gate conductor line to make multiple connections to different active area silicides.
    Type: Application
    Filed: March 29, 2007
    Publication date: October 2, 2008
    Applicant: International Business Machines Corporation
    Inventors: Clement H. Wann, Haining S. Yang
  • Publication number: 20080157342
    Abstract: The present invention provides a semiconductor device package with a metal marking structure comprising a substrate with a die receiving cavity formed within an upper surface of the substrate and a through hole structure formed there through, wherein a terminal pad is formed under a lower surface of the substrate and a conductive trace formed on the lower surface of the substrate; a die attached within the die receiving cavity and having a plurality of bonding pads formed thereon; a first dielectric layer formed on the die and the substrate to expose the surface of the bonding pads and the through hole structure; a redistribution layer formed on the first dielectric layer to couple the bonding pads and the through hole structure; a second dielectric layer formed on the first dielectric layer and the redistribution layer trace; a metal marking layer formed on the second dielectric layer; and a heat sink layer formed on the metal marking layer.
    Type: Application
    Filed: January 3, 2007
    Publication date: July 3, 2008
    Inventor: Wen-Kun Yang
  • Patent number: 7368379
    Abstract: An interconnect structure for a semiconductor device and its method of manufacture is provided. The interconnect structure includes a multi-layer structure having one or more stress-relief layers. In an embodiment, stress-relief layers are positioned between layers of electroplated copper or other conductive material. The stress-relief layer counteracts stress induced by the conductive material and helps prevent or reduce a pull-back void. For an interconnect structure using electroplated copper, the stress-relief layer may be formed by temporarily reducing the electroplating current, thereby causing a thin film of copper having a larger grain size to be formed between other layers of copper. The larger grain size typically exhibits more of a compressive stress than copper with a smaller grain size. The stress relief layer may also be formed of other materials, such as SIP-Cu, Ta, SiC, or the like.
    Type: Grant
    Filed: August 4, 2005
    Date of Patent: May 6, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jung-Chih Tsao, Kei-Wei Chen, Yu-Ku Lin, Chyi S Chern
  • Patent number: 7344973
    Abstract: Provided are a semiconductor device, adapted to be capable of fabricating the device having improved resistance characteristic by decreasing dishing of solid phase epitaxy (SPE) silicon during planarization in a landing plug forming process via use of SPE silicon, and a method of manufacturing the same. The method of manufacturing a semiconductor device in accordance with the present invention comprises, forming a plurality of gates on a semiconductor substrate; forming an interlayer dielectric film thereon, such that the gates are embedded; selectively etching the interlayer dielectric film to open a landing plug-forming region; depositing SPE silicon, such that the opened landing plug-forming region in the interlayer dielectric film is embedded; implanting boron ions into the SPE silicon; and annealing the resulting boron ion-implanted structure.
    Type: Grant
    Filed: November 2, 2005
    Date of Patent: March 18, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventors: Kyung Ho Hwang, Won Mo Lee
  • Patent number: 7344977
    Abstract: The invention includes methods of electrochemically treating semiconductor substrates. The invention includes a method of electroplating a substance. A substrate having defined first and second regions is provided. The first and second regions can be defined by a single mask, and accordingly can be considered to be self-aligned relative to one another. A first electrically conductive material is formed over the first region, and a second electrically conductive material is formed over the second region. The first and second electrically conductive materials are exposed to an electrolytic solution while providing electrical current to the first and second electrically conductive materials. A desired substance is selectively electroplated onto the first electrically conductive material during the exposing of the first and second electrically conductive materials to the electrolytic solution. The invention also includes methods of forming capacitor constructions.
    Type: Grant
    Filed: February 8, 2005
    Date of Patent: March 18, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Dale W. Collins, Richard H. Lane, Rita J. Klein
  • Patent number: 7288474
    Abstract: A metallization process and material system for metallizing either blind or through vias in silicon, involving forming a low coefficient of thermal expansion composite or suspension, relative to pure metals, such as copper, silver, or gold, and filling the via holes in the silicon with the paste or suspension. The suspensions sinter with minimal bulk shrinkage, forming highly conductive structures without the formation of macroscopic voids. The selected suspension maintains a coefficient of thermal expansion closer to that of silicon.
    Type: Grant
    Filed: October 10, 2006
    Date of Patent: October 30, 2007
    Assignee: International Business Machines Corporation
    Inventors: Jon A. Casey, Brian R. Sundlof
  • Patent number: 7271095
    Abstract: A process produces metallic interconnects and contact surfaces on electronic components using a copper-nickel-gold layer structure. The copper core of the interconnects and contact surfaces is deposited by electroplating by means of a first resist mask made from positive resist. The copper core of the interconnects and contact surfaces is surrounded by a nickel-gold layer by means of a second resist mask. The interconnects and contact surfaces are produced by means of two resist masks arranged one on top of the other, in such a way that the copper which forms the core of the interconnect is completely surrounded by the nickel-gold layer, which extends above the copper core, and an adjoining layer that extends beneath the copper core and comprises a diffusion barrier and seed layer.
    Type: Grant
    Filed: February 2, 2005
    Date of Patent: September 18, 2007
    Assignee: Infineon Technologies AG
    Inventors: Axel Brintzinger, Octavio Trovarelli
  • Patent number: 7259025
    Abstract: A method of forming a ferromagnetic liner on conductive lines of magnetic memory devices and a structure thereof. The ferromagnetic liner increases the flux concentration of current run through the conductive lines, reducing the amount of write current needed to switch magnetic memory cells. The conductive lines are formed in a plate-up method, and the ferromagnetic liner is selectively formed on the plated conductive lines. The ferromagnetic liner may also be formed over conductive lines and a top portion of vias in a peripheral region of the workpiece.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: August 21, 2007
    Assignees: Infineon Technologies AG, International Business Machines Corporation
    Inventors: Rainer Leuschner, Michael C. Gaidis, Judith M. Rubino, Lubomyr Taras Romankiw
  • Patent number: 7247560
    Abstract: A method has been disclosed that allows the selective deposition of the metal for double damascene silicon wafer processing. This selective deposition allows the metal to be deposited only in the via holes, contact holes, channels or where ever the deposition is targeted to be deposited on the wafer where it is needed. This method allows double damascene wafers to be processed with out the necessity of polishing back the whole surface of the wafer to remove metal from most of the wafer surface, as is currently the practice.
    Type: Grant
    Filed: March 1, 2006
    Date of Patent: July 24, 2007
    Inventors: Samuel Kinner, Gary Poovey
  • Patent number: 7238610
    Abstract: A method for selectively depositing a source material on a wafer is disclosed. In one embodiment, a wafer is having at least one recessed feature is provided. A top surface of the wafer is then coated with an inhibiting material. Finally, a source material is selectively deposited in the at least one recessed feature, the source material repelled by the inhibiting material. In another embodiment, the inhibiting material is one of a wax, a surfactant or an oil.
    Type: Grant
    Filed: March 31, 2003
    Date of Patent: July 3, 2007
    Assignee: Intel Corporation
    Inventor: Chris Barns
  • Patent number: 7202154
    Abstract: A metallization process and material system for metallizing either blind or through vias in silicon, involving forming a low coefficient of thermal expansion composite or suspension, relative to pure metals, such as copper, silver, or gold, and filling the via holes in the silicon with the paste or suspension. The suspensions sinter with minimal bulk shrinkage, forming highly conductive structures without the formation of macroscopic voids. The selected suspension maintains a coefficient of thermal expansion closer to that of silicon.
    Type: Grant
    Filed: January 5, 2004
    Date of Patent: April 10, 2007
    Assignee: International Business Machines Corporation
    Inventors: Jon A. Casey, Brian R. Sundlof
  • Patent number: 7199043
    Abstract: Disclosed in a method of forming a copper wiring in a semiconductor device. A copper layer buries a damascene pattern in which an interlayer insulating film of a low dielectric constant. The copper layer is polished by means of a chemical mechanical polishing process to form a copper wiring within a damascene pattern. At this time, the chemical mechanical polishing process is overly performed so that the top surface of the copper wiring is concaved and is lower than the surface of the interlayer insulating film of the low dielectric constant neighboring it. Furthermore, an annealing process is performed so that the top surface of the copper wiring is changed from the concaved shape to a convex shape while stabilizing the copper wiring. A copper anti-diffusion insulating film is then formed on the entire structure including the top surface of the copper wiring having the convex shape.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: April 3, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang Kyun Park
  • Patent number: 7172966
    Abstract: The invention, which relates to a method for fabricating metallic interconnects with copper-nickel-gold layer construction on electronic components, is based on the object of specifying a method by means of which it is possible to fabricate such metallic interconnects on different electronic components cost-effectively by means of the known and tried and tested methods which have a comprehensive corrosion protection. According to the invention, the object is achieved by virtue of the fact that the interconnects are embodied such that they are completely encapsulated by being deposited in a manner buried in a patterned dielectric layer in the lower region and being covered in the upper region by a nickel-gold layer adjoining the lower encapsulation without any gaps.
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: February 6, 2007
    Assignee: Infineon Technologies AG
    Inventors: Axel Brintzinger, Octavio Trovarelli, Wolfgang Leiberg
  • Patent number: 7163888
    Abstract: A direct imprinting process for Step and Flash Imprint Lithography includes providing (40) a substrate (12); forming (44) an etch barrier layer (14) on the substrate; patterning (46) the etch barrier layer with a template (16) while curing with ultraviolet light through the template, resulting in a patterned etch barrier layer and a residual layer (20) on the substrate; and performing (48) an etch to substantially remove the residual layer. Optionally, a patterning layer (52) may be formed on the substrate (12) prior to forming the etch barrier layer (14). Additionally, an adhesive layer (13) may be applied (42) between the substrate (12) and the etch barrier layer (14).
    Type: Grant
    Filed: November 22, 2004
    Date of Patent: January 16, 2007
    Assignee: Motorola, Inc.
    Inventors: Kathy A. Gehoski, William J. Dauksher, Ngoc V. Le, Douglas J. Resnick
  • Patent number: 7138304
    Abstract: A method for forming a thin film pattern includes the step of ejecting a plurality of liquid droplets of a function liquid at predetermined pitches between banks, wherein each of the predetermined pitches is larger than a diameter of the liquid droplet and the predetermined pitches are set so that adjacent liquid droplets coalesce with each other when wetting and spreading within a groove formed between the banks.
    Type: Grant
    Filed: May 11, 2004
    Date of Patent: November 21, 2006
    Assignee: Seiko Epson Corporation
    Inventor: Toshimitsu Hirai
  • Patent number: 7129164
    Abstract: A damascene structure and method for forming the same in a multi-density dielectric insulating layer the method including providing a substrate; forming at least a first layer comprising silicon oxide according to a first process having a first density; forming at least a second layer comprising silicon oxide according to a second process over the first layer having a second density less than the first density; etching a damascene opening through a thickness portion of the at least a first and the at least a second layer; and, filling the damascene opening to form a metal filled damascene.
    Type: Grant
    Filed: October 18, 2004
    Date of Patent: October 31, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hui Lin Chang, Yung Cheng Lu, Li Ping Li, Tien I Bao, Chih Hsien Lin
  • Patent number: 7126232
    Abstract: A method is described for repairing failure points, regions or locations in an electronic device to have a perfect function when a semiconductor device including an LCD of other electronic device has defects. Described is a method of transferring a single or multi-layer thin film piece into a recess with the physical properties of the thin film piece unchanged. An electronic device is described incorporating a substrate; and a plurality of thin films laminated on the substrate and part of the thin films are formed on a predetermined circuit pattern, wherein a transfer film for repairing a defect is fitted into a recess where the low layers of the thin films are exposed by removing part of a single or multi-layer thin films covering a defective portion included on the thin films and its surrounding portion.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: October 24, 2006
    Assignee: AU Optronics Corporation
    Inventors: Kazumitsu Imahara, Kakehiko Wada
  • Patent number: 7105434
    Abstract: One embodiment of the present invention is a method for making metallic interconnects, which method is utilized at a stage of processing a substrate having a patterned insulating layer which includes at least one opening and a field surrounding the at least one opening, the field and the at least one opening being ready for depositing one or more seed layers, which method includes steps of: (a) depositing by an ALD technique at least an initial portion of a substantially conformal seed layer on the field and inside surfaces of the at least one opening, wherein said at least one opening has a width of less than about 0.
    Type: Grant
    Filed: December 28, 2004
    Date of Patent: September 12, 2006
    Inventor: Uri Cohen
  • Patent number: 7087510
    Abstract: A microelectronic component having a plurality of leads are formed at their tip end with bondable material using a process including a mask of positive photoresist material. The leads can be rendered peelable from the substrate by, for example, plasma undercutting the leads. The tip ends of the leads can be bonded to contacts on an opposing microelectronic component, and separated therefrom in horizontal direction by virtue of the peelable leads to form S-shaped leads. The space between the microelectronic components can be filled with a compliant layer to form a microelectronic package.
    Type: Grant
    Filed: May 2, 2002
    Date of Patent: August 8, 2006
    Assignee: Tessera, Inc.
    Inventor: Mitchell Koblis
  • Patent number: 7064068
    Abstract: Narrow trenches in a substrate tend to fill more rapidly than wide trenches This results in a non-planar surface once all trenches have been filled. The present invention solves this problem by performing the electro-deposition in two steps. The plating bath used during the first step, is optimized for filling narrow trenches while the plating bath used during the second step, is optimized for filling wide trenches. The net result is a final layer having a planar surface, with all trenches being properly filled.
    Type: Grant
    Filed: January 23, 2004
    Date of Patent: June 20, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih-Wei Chou, Ming-Hsing Tsai, Ming-Wei Lin
  • Patent number: 6967154
    Abstract: A method of enhanced atomic layer deposition is described. In an embodiment, the enhancement is the use of plasma. Plasma begins prior to flowing a second precursor into the chamber. The second precursor reacts with a prior precursor to deposit a layer on the substrate. In an embodiment, the layer includes at least one element from each of the first and second precursors. In an embodiment, the layer is TaN. In an embodiment, the precursors are TaF5 and NH3. In an embodiment, the plasma begins during the purge gas flow between the pulse of first precursor and the pulse of second precursor. In an embodiment, the enhancement is thermal energy. In an embodiment, the thermal energy is greater than generally accepted for ALD (>300 degrees Celsius). The enhancement assists the reaction of the precursors to deposit a layer on a substrate.
    Type: Grant
    Filed: August 26, 2002
    Date of Patent: November 22, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Shuang Meng, Garo J. Derderian, Gurtej Singh Sandhu
  • Patent number: 6964922
    Abstract: Methods of forming an integrated circuit device can include forming an interlevel dielectric film on an integrated circuit substrate including a conductive portion thereof. The interlevel dielectric film includes a contact hole therein exposing a portion of the conductive portion of the integrated circuit substrate, and the dielectric film includes a trench therein communicating with the contact hole wherein the trench is in a surface of the interlevel dielectric film opposite the integrated circuit substrate. A first metal layer is formed in the contact hole preferentially with respect to formation of the first metal layer on a surface of the interlevel dielectric film opposite the integrated circuit substrate. After preferentially forming the first metal layer in the contact hole, a second metal layer is formed on the surface of the interlevel dielectric film opposite the integrated circuit substrate.
    Type: Grant
    Filed: January 28, 2003
    Date of Patent: November 15, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-myeong Lee, Hyeon-deok Lee, In-sun Park, Ju-bum Lee
  • Patent number: 6924226
    Abstract: One embodiment of the present invention is a method for making metallic interconnects, which method is utilized at a stage of processing a substrate having a patterned insulating layer which includes at least one opening and a field surrounding the at least one opening, the field and the at least one opening being ready for depositing of one or more seed layers, which method includes steps of: (a) depositing a substantially conformal seed layer over the field and inside surfaces of the at least one opening; (b) depositing a substantially non-conformal seed layer over the substantially conformal seed layer, said substantially non-conformal seed layer being thicker than said substantially conformal seed layer over the field, wherein the substantially conformal and the substantially non-conformal seed layers do not seal the at least one opening; and (c) electroplating a metallic layer over the substantially non-conformal seed layer, wherein the electroplated metallic layer comprises a material selected from a gr
    Type: Grant
    Filed: December 23, 2002
    Date of Patent: August 2, 2005
    Inventor: Uri Cohen
  • Patent number: 6921717
    Abstract: Disclosed is a method for forming metal lines, which comprises the following steps of: preparing a semiconductor substrate having a lower metal line; successively forming a polymer dielectric film and an oxide film on the substrate, the polymer dielectric film and the oxide film having a contact for exposing a predetermined portion of the lower metal line; dry cleaning a resultant structure according to a remote plasma mechanism to remove the metal oxide film from the surface portion of the lower metal line exposed via the contact and to form a protective film on a lateral portion of the polymer dielectric film; and embedding a metal film functioning as an upper metal line in a contact structure.
    Type: Grant
    Filed: December 5, 2003
    Date of Patent: July 26, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Tae Kyung Kim
  • Patent number: 6916733
    Abstract: The method forming a contact pad of a semiconductor device, including forming a plurality of conductive layer patterns displaced on a silicon substrate with adjoining to each other; forming an insulating layer on a top of the conductive layer patterns; depositing a material layer serving as a hard mask on the insulating layer; forming a photoresist pattern between the conductive layer patterns on the hard mask material layer to form a contact hole; defining an area for forming a contact by forming by etching the hard mask material layer with utilizing the photoresist pattern as an etching mask; removing the photoresist pattern; exposing the silicon substrate by etching the insulating layer with utilizing the hard mask as an etching mask to thereby form an open portion; forming a polymer layer on the open portion; exposing the silicon substrate by removing the hard mask and the polymer layer by implementing an etch back process; and forming a contacted pad on the exposed silicon substrate.
    Type: Grant
    Filed: September 11, 2003
    Date of Patent: July 12, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sung-Kwon Lee
  • Patent number: 6905964
    Abstract: An improved and new process for fabricating self-aligned metal barriers by atomic layer deposition, ALD, capable of producing extremely thin, uniform, and conformal metal barrier films, selectively depositing on copper, not on silicon dioxide interlevel dielectric, in multi-layer dual damascene trench/via processing. Silicon nitride is presently used as a insulating copper barrier. However, silicon nitride has a relatively high dielectric constraint, which deteriorates ICs with increased RC delay. Copper metal barriers of niobium and tantalum have been deposited by atomic layer deposition on copper. With high deposition selectivity, the barrier metal is only deposited over copper, not on silicon dioxide, which eliminates the need of an insulating barrier of silicon nitride.
    Type: Grant
    Filed: January 9, 2003
    Date of Patent: June 14, 2005
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Boon Kiat Lim, Alex See
  • Patent number: 6893957
    Abstract: A dual damascene process is disclosed, in which a contact via and trench pattern is etched into insulating layer(s). The via is first partially filled by selective metal (e.g., tungsten) deposition, thereby forming a partial plug that raises the floor and reduces the effective aspect ratio of the trench and via structure. The remaining portion of the contact via is then filled with a more conductive material (e.g., aluminum). This deposition also at least partially fills the overlying trench to form metal runners. In the illustrated embodiment, hot aluminum deposition fills the portion of the contact via left unoccupied by the selective deposition and overfills into the trench. A further, cold aluminum deposition then follows, topping off the trench prior to planarization.
    Type: Grant
    Filed: January 2, 2002
    Date of Patent: May 17, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Jigish D. Trivedi, Mike P. Violette
  • Patent number: 6838380
    Abstract: The present invention provides a method for creating microscopic high resistivity structures on a target by directing a focused ion beam toward an impact point on the target and directing a precursor gas toward the impact point, the ion beam causing the precursor gas to decompose and thereby deposit a structure exhibiting high resistivity onto the target. The precursor gas preferably contains a first compound that would form a conductive layer and a second compound that would form an insulating layer if each of the first and second compounds were applied alone in the presence of the ion beam.
    Type: Grant
    Filed: January 23, 2002
    Date of Patent: January 4, 2005
    Assignee: FEI Company
    Inventors: Neil J. Bassom, Tung Mai
  • Publication number: 20040266175
    Abstract: In a first aspect, a method is provided that includes (1) forming a first barrier layer over the sidewalls and bottom of a via using atomic layer deposition within an atomic layer deposition (ALD) chamber; (2) removing at least a portion of the first barrier layer from the bottom of the via by sputter etching; and (3) depositing a second barrier layer on the sidewalls and bottom of the via within the ALD chamber. Numerous other embodiments are provided, as are systems, methods and computer program products in accordance with these and other aspects.
    Type: Application
    Filed: July 20, 2004
    Publication date: December 30, 2004
    Applicant: Applied Materials, Inc.
    Inventors: Fusen Chen, Ling Chen, Walter Benjamin Glenn, Praburam Gopalraja, Jianming Fu