Silicide Patents (Class 438/649)
  • Patent number: 7141469
    Abstract: A method of forming poly insulator poly capacitors by using self-aligned salicide process for mixed mode analog devices. These capacitors are formed in the self-aligned salicide process as stacked poly insulator poly (PIP) capacitors. In the self-aligned salicide process, a self-aligned salicide block process is needed to protect the the salicide formation process from electrostatic discharge (ESD) devices such as resistors or capacitors. The oxide layer of the self-aligned salicide block is used as the dielectric layer of the capacitors to form the PIP capacitor. Therefore, some process steps are omitted due to the formation of the PIP capacitors.
    Type: Grant
    Filed: October 19, 2004
    Date of Patent: November 28, 2006
    Assignee: Grace Semiconductor Manufacturing Corporation
    Inventors: Jung-Cheng Kao, Hao Fang
  • Patent number: 7132328
    Abstract: The present invention relates to a method of manufacturing a semiconductor device. According to the present invention, an ONO1 HTO film and an ONO2 nitride film are sequentially formed on a polysilicon layer for floating gate and an oxide film for ONO3 is formed as a SiON film by oxidizing the surface of the ONO2 nitride film. Thus, the oxide film for ONO3 having a better film quality and a high dielectric constant compared to an existing HTO oxide film is formed. Accordingly, capacitance and a breakdown voltage are increased and charge leakage and retention properties are thus improved. Furthermore, it is possible to reduce the cost through reduction in process by replacing an ONO3 annealing process and a subsequent high temperature steam annealing process with a single process.
    Type: Grant
    Filed: December 9, 2004
    Date of Patent: November 7, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventor: Kwang Chul Joo
  • Patent number: 7125787
    Abstract: A gate electrode includes a first polysilicon film remaining on a first oxide film, a part of a second polysilicon layer 8 superimposed on the polysilicon layer, and a part of the second polysilicon layer partially extending over second gate oxide films. Thus, the thickness of the gate electrode on the first gate oxide film is the same as that of the gate electrode of the prior art, but the film thickness t2 of the gate electrode 10 on the second gate oxide films 6A and 6B is thinner than the thickness t1 of the prior art. Therefore, the height gap h2 between the gate electrode 10 and the N+type source layer 11 and the height gap h2 between the gate electrode 10 and the N+type drain layer 12 become smaller compared to those of prior art, leading to the improved flatness of the interlayer oxide film 13.
    Type: Grant
    Filed: November 25, 2003
    Date of Patent: October 24, 2006
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Nobuyuki Sekikawa, Masaaki Momen, Wataru Andoh, Koichi Hirata
  • Patent number: 7122410
    Abstract: By maintaining the gate electrode covered during the process flow for forming metal silicide regions in the drain and source of a field effect transistor, an appropriate metal silicide may be formed on the gate electrode which meets the requirement for aggressive gate length scaling. Preferably, a nickel silicide is formed on the gate electrode, whereas the drain and source regions receive the well-established cobalt disilicide. Additionally, the gate electrode dopant profile is effectively decoupled from the drain and source dopant profile.
    Type: Grant
    Filed: March 2, 2004
    Date of Patent: October 17, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thorsten Kammler, Karsten Wieczorek, Matthias Schaller
  • Patent number: 7119024
    Abstract: A method used to form a semiconductor device provides a silicide layer on a plurality of transistor word lines and on a plurality of conductive plugs. In one embodiment, the word lines, one or more sacrificial dielectric layers on the word lines, conductive plugs, and a conductive enhancement layer are formed through the use of a single mask. An in-process semiconductor device which can be formed using one embodiment of the inventive method is also described.
    Type: Grant
    Filed: July 10, 2003
    Date of Patent: October 10, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Fredrick D. Fishburn, Terrence B. McDaniel, Richard H. Lane
  • Patent number: 7112498
    Abstract: Methods of forming silicide layers of a semiconductor device are disclosed. A disclosed method comprises depositing a polysilicon layer, a buffer oxide layer, and a buffer nitride layer on a semiconductor substrate; forming a gate on the semiconductor substrate by removing some portion of the polysilicon layer, the buffer oxide layer, and the buffer nitride layer; forming sidewall spacers on the sidewalls of the gate; forming source and drain regions in the semiconductor substrate by performing an ion implantation process; forming a first silicide layer on the source and drain regions; depositing a first ILD layer over the semiconductor substrate including the gate and the first silicide layer; removing some portion of the first ILD layer to expose the top surface of the gate; and forming a second silicide layer on the gate.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: September 26, 2006
    Assignee: Dongbu Electronics Co., Ltd
    Inventor: Jin Hyo Jung
  • Patent number: 7112535
    Abstract: A process is disclosed for fabricating precision polysilicon resistors which more precisely control the tolerance of the sheet resistivity of the produced polysilicon resistors. The process generally includes performing an emitter/FET activation rapid thermal anneal (RTA) on a wafer having partially formed polysilicon resistors, followed by steps of depositing a protective dielectric layer on the polysilicon, implanting a dopant through the protective dielectric layer into the polysilicon to define the resistance of the polysilicon resistors, and forming a silicide.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: September 26, 2006
    Assignee: International Business Machines Corporation
    Inventors: Douglas D. Coolbaugh, Heidi L. Greer, Robert M. Rassel
  • Patent number: 7109115
    Abstract: Various embodiments of the invention described herein reduce contact resistance to a silicon-containing material using a first refractory metal material overlying the silicon-containing material and a second refractory metal material overlying the first refractory metal material. Each refractory metal material is a conductive material containing a refractory metal and an impurity. The first refractory metal material is a metal-rich material, containing a level of its impurity at less than a stoichiometric level. The second refractory metal material has a lower affinity for the impurities than does the first refractory metal material. The second refractory metal material can thus serve as an impurity donor during an anneal or other exposure to heat.
    Type: Grant
    Filed: March 4, 2005
    Date of Patent: September 19, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Ravi Iyer, Yongjun Jeff Hu, Luan Tran, Brent Gilgen
  • Patent number: 7101747
    Abstract: Complementary transistors and methods of forming the complementary transistors on a semiconductor assembly are described. The transistors can be formed from a metal silicon compound deficient of silicon bonding atoms on a dielectric material overlying a semiconductor substrate conductively doped for PMOS and NMOS regions. The metal silicon compound overlying the NMOS region is converted to a metal silicon nitride and the metal silicon compound overlying the PMOS region is converted to a metal silicide. NMOS transistor gate electrodes comprising metal silicon nitride and PMOS transistor gate electrodes comprising metal silicide can be formed.
    Type: Grant
    Filed: July 13, 2005
    Date of Patent: September 5, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Yongjun J. Hu
  • Patent number: 7098094
    Abstract: A capping layer (118) is used during an anneal to form fully silicided NiSi gate electrodes (120). The capping layer (118) comprises a material with an affinity for boron, such as TiN. The capping layer (118) serves as a boron trap that reduces the interface boron concentration for PMOS transistors without reducing the interface arsenic concentration for NMOS transistors.
    Type: Grant
    Filed: December 12, 2003
    Date of Patent: August 29, 2006
    Assignee: Texas Instruments Incorporated
    Inventor: Jiong-Ping Lu
  • Patent number: 7071102
    Abstract: A process is described for creating a uniformly thick layer of titanium, cobalt, or nickel silicide over a layer of polysilicon that has features or a non-planar topography. A dual layer of metal is deposited onto patterned polysilicon such that the first layer covers the bottoms and tops of the non-planar topography and the second layer covers the sidewalls and tops of the non-planar topography. By heating the metal, etching away any un-reacted metal, and heating the result a second time, a metal silicide layer of uniform thickness, reduced stress and reduced resistivity is formed.
    Type: Grant
    Filed: January 6, 2004
    Date of Patent: July 4, 2006
    Assignee: Macronix International Co., Ltd.
    Inventor: Chi-Tung Huang
  • Patent number: 7067391
    Abstract: A new method to form metal silicide gates in the fabrication of an integrated circuit device is achieved. The method comprises forming polysilicon lines overlying a substrate with a dielectric layer therebetween. A first isolation layer is formed overlying the substrate and the sidewalls of the polysilicon lines. The first isolation layer does not overlie the top surface of the polysilicon lines. The polysilicon lines are partially etched down such that the top surfaces of the polysilicon lines are below the top surface of the first isolation layer. A metal layer is deposited overlying the polysilicon lines. A thermal anneal is used to completely convert the polysilicon lines to metal silicide gates. The unreacted metal layer is removed to complete the device.
    Type: Grant
    Filed: February 17, 2004
    Date of Patent: June 27, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Bor-Wen Chan, Chih-Hao Wang, Lawrance Hsu, Hun-Jan Tao
  • Patent number: 7067421
    Abstract: Structures and methods provide multilevel wiring interconnects in an integrated circuit assembly which alleviate problems associated with integrated circuit size and performance and include methods for forming multilevel wiring interconnects in an integrated circuit assembly, e.g., forming multilayer metal lines separated by a number of air gaps above a substrate. A silicide layer is formed on the multilayer metal lines, then oxidized. An insulator is deposited to fill interstices created by air gaps between the multilayer metal lines. In one embodiment, forming multilayer metal lines includes a conductor bridge level. In one embodiment, forming a silicide layer on the multilayer metal lines includes using a pyrolysis of silane at a temperature of between 300-500 degrees Celsius. In one embodiment, a metal layer is formed on the oxided silicide layer. The metal layer includes one of Aluminum, Chromium, Titanium, Zirconium and Aluminum oxide.
    Type: Grant
    Filed: November 24, 2003
    Date of Patent: June 27, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes, Jerome M. Eldridge
  • Patent number: 7067410
    Abstract: The present invention provides a technique for forming a metal silicide, such as a cobalt disilicide, even at extremely scaled device dimensions without unduly degrading the film integrity of the metal silicide. To this end, an ion implantation may be performed, advantageously with silicon, prior to a final anneal cycle, thereby correspondingly modifying the grain structure of the precursor of the metal silicide.
    Type: Grant
    Filed: April 29, 2004
    Date of Patent: June 27, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Karsten Wieczorek, Thorsten Kammler, Manfred Horstmann
  • Patent number: 7060577
    Abstract: The present invention provides a method for forming a metal silicide layer in an active area of the semiconductor device. The method for forming the metal silicide layer includes: forming a source/drain junction area on a silicon substrate; forming an attack protection layer on the source/drain junction area, wherein the attack protection layer is electrically conductive and prevents a silicon substrate attack caused by chlorine (Cl) gas; forming a titanium (Ti) layer over the attack protection layer through a low pressure chemical vapor deposition (LPCVD) process using a source gas of TiCl4; and diffusing the Ti layer into the attack protection layer to thereby form a metal silicide layer.
    Type: Grant
    Filed: July 3, 2003
    Date of Patent: June 13, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventors: In-Haeng Lee, Yoon-Jik Lee
  • Patent number: 7060616
    Abstract: The present invention is provided to manufacture a semiconductor device capable of preventing loss of dopants due to external diffusion thereof from a junction area by forming a cobalt mono-silicide film through a first RTP process, implanting ions not serving as a donor or an acceptor with a low energy and a low dose to make the film amorphous, and then forming a cobalt silicide film through a second RTP process.
    Type: Grant
    Filed: December 10, 2003
    Date of Patent: June 13, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventor: Ui Sik Kim
  • Patent number: 7056794
    Abstract: A method is provided for fabricating a single-metal or dual metal replacement gate structure for a semiconductor device; the structure includes a silicide contact to the gate region. A dummy gate structure and sacrificial gate dielectric are removed to expose a portion of the substrate; a gate dielectric is formed thereon. A metal layer is formed overlying the gate dielectric and the dielectric material. This metal layer may conveniently be a blanket metal layer covering a device wafer. A silicon layer is then formed overlying the metal layer; this layer may also be a blanket wafer. A planarization or etchback process is then performed, so that the top surface of the dielectric material is exposed while other portions of the metal layer and the silicon layer remain in the gate region and have surfaces coplanar with the top surface of the dielectric material. A silicide contact is then formed which is in contact with the metal layer in the gate region.
    Type: Grant
    Filed: January 9, 2004
    Date of Patent: June 6, 2006
    Assignee: International Business Machines Corporation
    Inventors: Victor Ku, An Steegen, Hsing-Jen C. Wann
  • Patent number: 7056796
    Abstract: A processing method for fabricating silicide is provided. First of all, a semiconductor structure having a semiconductor surface and an insulation surface is provided. Next, an epitaxial layer on the semiconductor surface is formed. And, the semiconductor structure is treated. The treat step is that the removal rate of the insulation surface is faster than the removal rate of the epitaxial layer. Then, a metal layer on the epitaxial layer is formed. Finally, heating the epitaxial layer forms silicide. The treatment step prevents the insulation surface from the formation of the silicide so as to reduce the degradation of device characteristics.
    Type: Grant
    Filed: December 3, 2003
    Date of Patent: June 6, 2006
    Assignee: United Microelectronics Corp.
    Inventor: Bing-Chang Wu
  • Patent number: 7045457
    Abstract: A technique is provided of forming silicide films usable for next-generation transistors through a CVD process. In the technique of forming a silicide film formed of Ni and Si, where one or more chemical compounds represented with the following general formula [I] are used as an Ni source: where R1, R2, R3, R4, R5, R6, R7, R8, R9, or R10 is H or a hydrocarbon group.
    Type: Grant
    Filed: July 22, 2004
    Date of Patent: May 16, 2006
    Assignee: Tri Chemical Laboratores Inc.
    Inventors: Hideaki Machida, Yoshio Ohshita, Masato Ishikawa, Takeshi Kada
  • Patent number: 7045456
    Abstract: Methods are presented for fabricating transistor gate structures, wherein upper and lower metal suicides are formed above a gate dielectric. In one example, the lower silicide is formed by depositing a thin first silicon-containing material over the gate dielectric, which is implanted and then reacted with a first metal by annealing to form the lower silicide. A capping layer can be formed over the first metal prior to annealing, to prevent oxidation of the metal prior to silicidation, and a barrier layer can be formed over the lower silicide to prevent reaction with subsequently formed silicon material. In another example, the lower silicide is a multilayer silicide structure including a plurality of metal silicide sublayers.
    Type: Grant
    Filed: December 22, 2003
    Date of Patent: May 16, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Robert William Murto, Luigi Colombo, Mark Robert Visokay
  • Patent number: 7037829
    Abstract: Various embodiments of the invention described herein reduce contact resistance to a silicon-containing material using a first refractory metal material overlying the silicon-containing material and a second refractory metal material overlying the first refractory metal material. Each refractory metal material is a conductive material containing a refractory metal and an impurity. The first refractory metal material is a metal-rich material, containing a level of its impurity at less than a stoichiometric level. The second refractory metal material has a lower affinity for the impurities than does the first refractory metal material. The second refractory metal material can thus serve as an impurity donor during an anneal or other exposure to heat.
    Type: Grant
    Filed: January 31, 2003
    Date of Patent: May 2, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Ravi Iyer, Yongjun Jeff Hu, Luan Tran, Brent Gilgen
  • Patent number: 7037827
    Abstract: A semiconductor device with an epitaxially grown titanium silicide layer having a phase of C49 and a method for fabricating the same. The titanium silicide layer has a predetermined interfacial energy that does not transform the phase of the titanium layer, and thus, occurrences of agglomeration of the titanium layer and a grooving phenomenon can be prevented. The semiconductor device includes: a silicon layer; an insulation layer formed on the silicon layer, wherein a partial portion of the insulation layer is opened to form a contact hole exposing a partial portion of the silicon layer. An epitaxially grown titanium silicide layer having a phase of C49 and is formed on the exposed silicon substrate disposed within the contact hole; and a metal layer is formed on an upper surface of the titanium silicide layer.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: May 2, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventors: Moon-Keun Lee, Tae-Kwon Lee, Jun-Mo Yang, Tae-Su Park, Yoon-Jik Lee
  • Patent number: 7026243
    Abstract: A method of forming a conductive metal silicide by reaction of metal with silicon is described. A method includes providing a semiconductor substrate with an exposed elemental silicon-containing surface. At least one of a nitride, boride, carbide, or oxide-comprising layer is atomic layer deposited onto the exposed elemental silicon-containing surface to a thickness no greater than 15 Angstroms. This ALD-deposited layer is exposed to plasma and a conductive reaction layer including at least one of an elemental metal or metal-rich silicide is deposited onto the plasma-exposed layer. Metal of the conductive reaction layer is reacted with elemental silicon of the substrate effective to form a conductive metal silicide-comprising contact region electrically connecting the conductive reaction layer with the substrate. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: October 20, 2003
    Date of Patent: April 11, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Cem Basceri
  • Patent number: 6984574
    Abstract: A cobalt silicide fabrication process entails first depositing a cobalt layer (120) on a silicon-containing EPROM region. A titanium layer (130) is formed over the cobalt layer by ionized physical vapor deposition (“IPVD”) to protect the cobalt layer from contaminant gases. Cobalt of the cobalt layer is reacted with silicon of the EPROM region to form a cobalt silicide layer (210) after which the titanium layer and any unreacted cobalt are removed. Use of IPVD to form the titanium layer by improves the step coverage to produce a better cobalt silicide layer.
    Type: Grant
    Filed: January 23, 2002
    Date of Patent: January 10, 2006
    Assignee: Mosel Vitelic, Inc.
    Inventors: Vincent Fortin, Kuei-Chang Tsai
  • Patent number: 6977221
    Abstract: The invention includes a method of forming a crystalline phase material which includes providing a stress inducing material within or operatively adjacent a crystalline material of a first crystalline phase and annealing the crystalline material of the first crystalline phase to transform it to a second crystalline phase. The stress inducing material induces compressive stress within the first crystalline phase during the anneal to produce a more dense second crystalline phase. Example compressive stress inducing layers include SiO2 and Si3N4, while example stress inducing materials for providing into layers are Ge, W and Co. Example and preferred crystalline phase materials having two phases are refractory metal silicides, such as TiSix. The invention additionally includes incorporating the crystalline phase material into a conductive line.
    Type: Grant
    Filed: July 20, 2004
    Date of Patent: December 20, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Sujit Sharan
  • Patent number: 6974773
    Abstract: According to one embodiment of the invention, a high pressure anneal is utilized to form titanium silicide at the bottom of a contact hole, at a pressure of at least approximately 1.1 atmospheres, from a reaction between deposited titanium and underlying silicon. When such high pressures are used, temperatures of less than approximately 700 degrees Celsius are utilized. According to another embodiment of the invention, a conductive plug fill material is deposited within a contact hole such that the plug structure is relatively free of voids. Either during deposition of the conductive plug fill material or after such deposition, the conductive plug fill material is subjected to a high pressure force-fill, at a pressure of at least approximately 1.1 atmospheres. When such high pressures are used, temperatures of less than approximately 700 degrees Celsius are utilized for the force-fill. Aluminum can be used for the conductive plug fill material when using this embodiment of the invention.
    Type: Grant
    Filed: January 16, 2001
    Date of Patent: December 13, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Randhir P. S. Thakur, John K. Zahurak
  • Patent number: 6969671
    Abstract: A diffusion layer 3a of a silicon substrate, a polycrystalline silicon material 10, or a gate electrode 12 is connected to a conductive film 8 through a titanium silicide film 6 within a contact hole 5 provided in an insulating film 4. The titanium silicide film 6 is formed by the silicide reaction between a titanium film 7 and the silicon. The upper limit of the thickness of the titanium silicide film 6, and the upper limit of the titanium film 7 are specified by the internal stress within the conductive film 8.
    Type: Grant
    Filed: November 4, 1997
    Date of Patent: November 29, 2005
    Assignee: Renesas Technology Corporation
    Inventors: Hiromi Shimazu, Tsuyoshi Baba, Masayuki Suzuki, Hideo Miura
  • Patent number: 6967160
    Abstract: Nickel silicide formation with significantly reduced interface roughness is achieved by forming a diffusion modulating layer between the underlying silicon and nickel silicide layers. Embodiments include ion implanting nitrogen into the substrate and gate electrode, depositing a thin layer of titanium or tantalum, depositing a layer of nickel, and then heating to form a diffusion modulating layer containing nitrogen at the interface between the underlying silicon and nickel silicide layers.
    Type: Grant
    Filed: January 26, 2005
    Date of Patent: November 22, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Eric Paton, Paul Raymond Besser, Simon S. Chan, Fred Hause
  • Patent number: 6967159
    Abstract: A method of forming (and apparatus for forming) refractory metal nitride layers (including silicon nitride layers), such as a tantalum nitride barrier layer, on a substrate by using an atomic layer deposition process (a vapor deposition process that includes a plurality of deposition cycles) with a refractory metal precursor compound, an organic amine, and an optional silicon precursor compound.
    Type: Grant
    Filed: August 28, 2002
    Date of Patent: November 22, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Brian A. Vaartstra
  • Patent number: 6960525
    Abstract: A method of forming a metal plug. First, a dielectric layer is formed on a substrate. Next, a patterned hard mask is formed on the dielectric layer. The dielectric layer is etched through the patterned hard mask to form a contact hole in the dielectric layer so as to expose parts of the substrate. An isolated layer is formed on the patterned hard mask. A barrier is then formed conformally on the isolated layer and the exposed substrate of the contact hole. A metal layer is formed to fill the contact hole and cover the barrier. A thermal treatment is performed to form a silicide between the barrier layer and the substrate. Finally, parts of the metal layer, barrier, isolated layer, and patterned hard mask are removed. The metal plug with a planar surface is thus formed in the contact hole.
    Type: Grant
    Filed: May 13, 2003
    Date of Patent: November 1, 2005
    Assignee: Nanya Technology Corporation
    Inventors: Yi-Nan Chen, Hui-Min Mao
  • Patent number: 6960500
    Abstract: A semiconductor device comprises a plurality of gate lines composed of line shapes to function as gate electrodes in a plurality of transistors and separated from a substrate by a gate insulating layer, each having an upper metal silicide layer; and a plurality of source/drain regions formed on the substrate between said gate lines solely by carrying out impurity implantation processes.
    Type: Grant
    Filed: February 11, 2004
    Date of Patent: November 1, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: You-Cheol Shin, Kyu-Charn Park, Won-Hong Lee, Jung-Dal Choi
  • Patent number: 6958290
    Abstract: In an integrated device, a via is formed in a substrate layer and a barrier layer is formed on the substrate layer in the via. A seed layer is formed on the barrier layer in the via. The seed layer includes a first material and a second material. The first material provides an ability for the second material to maintain an adherence to the barrier layer.
    Type: Grant
    Filed: May 3, 2002
    Date of Patent: October 25, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Richard A. Faust, Jr., Qing-Tang Jiang, Jiong-Ping Lu
  • Patent number: 6943107
    Abstract: A method of forming a crystalline phase material includes, a) providing a stress inducing material within or operatively adjacent a crystalline material of a first crystalline phase; and b) annealing the crystalline material of the first crystalline phase under conditions effective to transform it to a second crystalline phase. The stress inducing material preferably induces compressive stress within the first crystalline phase during the anneal to the second crystalline phase to lower the required activation energy to produce a more dense second crystalline phase. Example compressive stress inducing layers include SiO2 and Si3N4, while example stress inducing materials for providing into layers are Ge, W and Co. Where the compressive stress inducing material is provided on the same side of a wafer over which the crystalline phase material is provided, it is provided to have a thermal coefficient of expansion which is less than the first phase crystalline material.
    Type: Grant
    Filed: August 10, 2004
    Date of Patent: September 13, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Sujit Sharan
  • Patent number: 6927162
    Abstract: A method of forming a contact in a semiconductor device deposits a refractory metal contact layer in a contact hole on a conductive region portion in a silicon substrate. The refractory metal contact layer is reacted with the silicide region prior to a plasma treatment of a contact barrier metal layer formed within the contact hole. This prevents portions of the refractory metal contact layer from being nitridated prior to conversion to silicide.
    Type: Grant
    Filed: February 23, 2004
    Date of Patent: August 9, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Wen Yu, Jinsong Yin, Connie Pin-Chin Wang, Paul Besser, Keizaburo Yoshie
  • Patent number: 6908837
    Abstract: A method of manufacturing a semiconductor integrated circuit device includes the steps of depositing a first insulating film over a first conductive layer, patterning the first insulating film by using a resist film as a mask to form a cap film, and removing the resist film. After which, a gate electrode of a MISFET is formed by etching the first conductive layer using the cap film as a mask. A second insulating film is deposited over the gate electrode and the cap film and a side wall spacer formed on side surfaces of the gate electrode by etching the second insulating film. After which, a salicide layer is selectively formed on the gate electrode. The cap film is removed by over-etching the first insulating film to etch the cap film.
    Type: Grant
    Filed: September 23, 2002
    Date of Patent: June 21, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Yasuhiro Taniguchi, Shoji Shukuri, Kenichi Kuroda, Shuji Ikeda, Takashi Hashimoto
  • Patent number: 6893905
    Abstract: An aluminum-containing film having an oxygen content within the film. The aluminum-containing film is formed by introducing hydrogen gas and oxygen gas along with argon gas into a sputter deposition vacuum chamber during the sputter deposition of aluminum or aluminum alloys onto a semiconductor substrate. The aluminum-containing film so formed is hillock-free and has low resistivity, relatively low roughness compared to pure aluminum, good mechanical strength, and low residual stress.
    Type: Grant
    Filed: June 26, 2002
    Date of Patent: May 17, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Kanwal K. Raina, David H. Wells
  • Patent number: 6884716
    Abstract: Methods of forming an electrically conductive line include providing a stress inducing material within or a compressive stress inducing layer operatively adjacent a crystalline material of a first crystalline phase. In addition, such methods include annealing the crystalline material of the first crystalline phase under conditions effective to transform it to a second crystalline phase. Some methods also include providing stress inducing materials into a refractory metal layer. Example compressive stress inducing layers include SiO2 and Si3N4, while example stress inducing materials include Ge, W and Co. Where the compressive stress inducing material is provided on the same side of a wafer over which the crystalline phase material is provided, it is provided to have a thermal coefficient of expansion which is less than the first phase crystalline material. Example and preferred crystalline phase materials having two phases are refractory metal silicides, such as TiSix.
    Type: Grant
    Filed: November 19, 2002
    Date of Patent: April 26, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Sujit Sharan
  • Patent number: 6884736
    Abstract: A method of manufacturing a semiconductor device is provided. A semiconductor element is formed on a substrate. The semiconductor element has at least one nickel silicide contact region, an etch stop layer formed over said element, and an insulating layer formed over said etch stop layer. A portion of the etch stop layer immediately over a selected contact region is removed using a process that does not substantially react with the contact region, to form a contact opening. The contact opening is then filled with a conductive material to form a contact.
    Type: Grant
    Filed: October 7, 2002
    Date of Patent: April 26, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co, Ltd.
    Inventors: Chii-Ming Wu, Mei-Yun Wang, Chih-Wei Chang, Chin-Hwa Hsieh, Shau-Lin Shue, Chu-Yun Fu, Ju-Wang Hsu, Ming-Huan Tsai, Yuan-Hung Chiu
  • Patent number: 6881663
    Abstract: Silicide interfaces for integrated circuits, thin film devices, and back-end integrated circuit testing devices are formed using a barrier layer, such as titanium nitride, disposed over a porous, thin dielectric layer which is disposed between a silicon-containing substrate and a silicidable material which is deposited to form the silicide interfaces for such devices. The barrier layer prevents the formation of a silicide material within imperfections or voids which form passages through the thin dielectric layer when the device is subjected to a high-temperature anneal to form the silicide contact from the reaction of the silicidable material and the silicon-containing substrate.
    Type: Grant
    Filed: July 14, 2003
    Date of Patent: April 19, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Salman Akram, Y. Jeff Hu
  • Patent number: 6878623
    Abstract: A gate structure having associated (LDD) regions and source and drain is formed as is conventional. A first oxide spacer, for example, is formed along the sidewalls of the gate structure. A layer of metal such as titanium is then deposited over the surface of the gate structure. Second sidewall spacers are formed covering the metal over the first sidewall spacer and covering the metal over isolation regions. A layer of polysilicon is deposited over the surface of the gate structure. A rapid thermal annealing (RTA) is performed causing the metal to react with both the silicon in the junction below the metal and the polysilicon above the metal forming a metal silicide. Metal along the sidewalls between the first and second sidewall spacers and over the isolation regions does not react and is etched away. By providing an additional source of silicon in the polysilicon layer above the metal, a thicker silicide is achieved.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: April 12, 2005
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Cheng Cheh Tan, Randall Cher Liang Cha, Alex See, Lap Chan
  • Patent number: 6873019
    Abstract: In a semiconductor device having memory cells and peripheral circuits, the memory cells and the peripheral circuits are formed on a semiconductor substrate. Source regions, drain regions and gate electrodes of MOS transistors in the peripheral circuits are comprised of a refractory metallic silicide layer. Gate electrodes of MOS transistors in the memory cells are comprised of the refractory metallic silicide. Source and drain regions of the MOS transistors in the memory cells are not comprised of the refractory metallic silicide layer.
    Type: Grant
    Filed: September 18, 2002
    Date of Patent: March 29, 2005
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Jiro Ida, Naoko Nakayama
  • Patent number: 6872639
    Abstract: An integrated circuit has a multi-layer stack such as a gate stack or a digit line stack disposed on a layer comprising silicon. A conductive film is formed on the transition metal boride layer. A process for fabricating such devices can include forming the conductive film using a vapor deposition process with a reaction gas comprising fluorine. In the case of a gate stack, the transition metal boride layer can help reduce or eliminate the diffusion of fluorine atoms from the conductive film into a gate dielectric layer. Similarly, in the case of digit line stacks as well as gate stacks, the transition metal boride layer can reduce the diffusion of silicon from the polysilicon layer into the conductive film to help maintain a low resistance for the conductive film.
    Type: Grant
    Filed: April 17, 2003
    Date of Patent: March 29, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Scott J. DeBoer, Husam N. Al-Shareef
  • Patent number: 6869867
    Abstract: The present invention provides a semiconductor device, comprising a semiconductor substrate, a gate insulating film formed on the semiconductor substrate, a gate electrode formed on the gate insulating film, and source-drain diffusion layer formed within the semiconductor substrate in the vicinity of the gate electrode. A silicide film is formed on each of the gate electrode and the source-drain diffusion layer. The silicide film positioned on the gate electrode is thicker than the silicide film positioned on the source-drain diffusion layer. The present invention also provides a method of manufacturing a semiconductor device, in which a gate electrode is formed on a gate insulating film covering a semiconductor substrate, followed by forming a source-drain diffusion layer within the semiconductor substrate.
    Type: Grant
    Filed: July 30, 2001
    Date of Patent: March 22, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Katsura Miyashita, Hisao Yoshimura, Mariko Takagi
  • Patent number: 6867118
    Abstract: A semiconductor substrate has a memory region and a logic region isolated by an isolation insulating film. Plural memory transistors are provided in the form of a matrix in the memory region, and a logic transistor is provided in the logic region. Gate electrodes of memory transistors arranged along the word line direction out of the plural memory transistors are formed as a common gate electrode extending along the word line direction, and impurity diffusion layers working as source/drain regions of memory transistors arranged along the bit line direction are formed as a common impurity diffusion layer extending along the bit line direction. An inter-gate insulating film having its top face at a lower level than the gate electrodes is formed on the semiconductor substrate between the gate electrodes of the plural memory transistors. A sidewall insulating film is formed on the side face of a gate electrode of the logic transistor.
    Type: Grant
    Filed: May 29, 2003
    Date of Patent: March 15, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Fumihiko Noro
  • Patent number: 6867093
    Abstract: A method for use in the fabrication of integrated circuits includes providing a substrate assembly having a surface. An adhesion layer is formed over at least a portion of the surface. The adhesion layer is formed of RuSixOy, where x and y are in the range of about 0.01 to about 10. The adhesion layer may be formed by depositing RuSixOy by chemical vapor deposition, atomic layer deposition, or physical vapor deposition or the adhesion layer may be formed by forming a layer of ruthenium or ruthenium oxide over a silicon-containing region and performing an anneal to form RuSixOy from the layer of ruthenium and silicon from the adjacent silicon-containing region. Capacitor electrodes, interconnects or other structures may be formed with such an adhesion layer. Semiconductor structures and devices can be formed to include adhesion layers formed of RuSixOy.
    Type: Grant
    Filed: May 6, 2003
    Date of Patent: March 15, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Eugene P. Marsh, Brenda D Kraus
  • Patent number: 6867130
    Abstract: Semiconductor devices exhibiting reduced gate resistance and reduced silicide spiking in source/drain regions are fabricated by forming thin metal silicide layers on the gate electrode and source/drain regions and then selectively resilicidizing the gate electrodes. Embodiments include forming the thin metal silicide layers on the polysilicon gate electrodes and source/drain regions, depositing a dielectric gap filling layer, as by high density plasma deposition, etching back to selectively expose the silicidized polysilicon gate electrodes and resilicidizing the polysilicon gate electrodes to increase the thickness of the metal silicide layers thereon. Embodiments further include resilicidizing the polysilicon gate electrodes including a portion of the upper side surfaces forming mushroom shaped metal silicide layers.
    Type: Grant
    Filed: May 28, 2003
    Date of Patent: March 15, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Olov B. Karlsson, Simon S. Chan, William G. En, Mark W. Michael
  • Patent number: 6867135
    Abstract: A method of forming a copper/barrier layer interface comprising the following sequential steps. A structure having a lower copper layer formed thereover is provide. A patterned dielectric layer is formed over the lower copper layer. The patterned dielectric layer having an opening exposing a portion of the lower copper layer. The exposed portion of the lower copper layer is converted to a copper silicide portion. A barrier layer is formed upon the patterned dielectric layer and the copper silicide portion, lining the opening, whereby the lower copper layer/barrier layer interface is formed such that the barrier layer contacts the copper silicide portion to form an interface.
    Type: Grant
    Filed: April 19, 2002
    Date of Patent: March 15, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tien I Bao, Syun-Ming Jang
  • Patent number: 6852588
    Abstract: Methods are provided for fabricating semiconductor structures and semiconductor device structures utilizing epitaxial Hf3Si2 layers. A process in accordance with one embodiment of the invention begins by disposing a silicon substrate in a processing chamber. The pressure within the processing chamber and a temperature of the silicon substrate in the range of approximately 250° C. to approximately 700° C. is established. A layer of Hf3Si2 then is grown overlying the silicon substrate at a rate in the range of about one (1) to about five (5) monolayers per minute.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: February 8, 2005
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Zhiyi Yu, Jay A. Curless, Yong Liang
  • Patent number: 6849543
    Abstract: A method for forming a cobalt silicide layer employs a sequential treatment of a silicon substrate with a hydrofluoric acid material followed by a wet chemical oxidant material. A cobalt material layer is then formed upon the sequentially treated silicon substrate and the silicon substrate/cobalt material layer laminate is thermally annealed to form a cobalt silicide layer. Use of the wet chemical oxidant material for treating the silicon substrate provides the cobalt silicide layer with enhanced electrical properties.
    Type: Grant
    Filed: October 12, 2002
    Date of Patent: February 1, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Mei-Yun Wang, Chih-Wei Chang, Shau-Lin Shue, Ching-Hau Hsieh
  • Patent number: 6846734
    Abstract: Methods of forming complementary metal oxide semiconductor (CMOS) devices having multiple-threshold voltages which are easily tunable are provided. Total salicidation with a metal bilayer (representative of the first method of the present invention) or metal alloy (representative of the second method of the present invention) is provided. CMOS devices having multiple-threshold voltages provided by the present methods are also described.
    Type: Grant
    Filed: November 20, 2002
    Date of Patent: January 25, 2005
    Assignee: International Business Machines Corporation
    Inventors: Ricky Amos, Katayun Barmak, Diane C. Boyd, Cyril Cabral, Jr., Meikei Leong, Thomas S. Kanarsky, Jakub Tadeusz Kedzierski