Having Adhesion Promoting Layer Patents (Class 438/654)
  • Patent number: 8492274
    Abstract: A metal interconnect structure, which includes metal alloy capping layers, and a method of manufacturing the same. The originally deposited alloy capping layer element within the interconnect features will diffuse into and segregate onto top surface of the metal interconnect. The metal alloy capping material is deposited on a reflowed copper surface and is not physically in contact with sidewalls of the interconnect features. The metal alloy capping layer is also reflowed on the copper. Thus, there is a reduction in electrical resistivity impact from residual alloy elements in the interconnect structure. That is, there is a reduction, of alloy elements inside the features of the metal interconnect structure. The metal interconnect structure includes a dielectric layer with a recessed line, a liner material on sidewalls, a copper material, an alloy capping layer, and a dielectric cap.
    Type: Grant
    Filed: October 17, 2012
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Marc A. Bergendahl, Steven J. Holmes, David V. Horak, Charles W. Koburger, III, Shom Ponoth
  • Patent number: 8481425
    Abstract: A method for fabricating through-silicon via structure is disclosed. The method includes the steps of: providing a semiconductor substrate; forming a through-silicon via in the semiconductor substrate; covering a liner in the through-silicon via; performing a baking process on the liner; forming a barrier layer on the liner; and forming a through-silicon via electrode in the through-silicon via.
    Type: Grant
    Filed: May 16, 2011
    Date of Patent: July 9, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Yen-Liang Lu, Chun-Ling Lin, Chi-Mao Hsu, Chin-Fu Lin, Chun-Hung Chen, Tsun-Min Cheng, Meng-Hong Tsai
  • Publication number: 20130168864
    Abstract: A tungsten nucleation film is formed on a surface of a semiconductor substrate by alternatively providing to that surface, reducing gases and tungsten-containing gases. Each cycle of the method provides for one or more monolayers of the tungsten film. The film is conformal and has improved step coverage, even for a high aspect ratio contact hole.
    Type: Application
    Filed: October 2, 2012
    Publication date: July 4, 2013
    Inventors: Sang-Hyeob Lee, Joshua Collins
  • Patent number: 8476162
    Abstract: Methods for forming layers on a substrate are provided herein. In some embodiments, methods of forming layers on a substrate disposed in a process chamber may include depositing a barrier layer comprising titanium within one or more features in the substrate; and sputtering a material from a target in the presence of a plasma formed from a process gas by applying a DC power to the target, maintaining a pressure of less than about 500 mTorr within the process chamber, and providing up to about 5000 W of a substrate bias RF power to deposit a seed layer comprising the material atop the barrier layer.
    Type: Grant
    Filed: October 7, 2011
    Date of Patent: July 2, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Tae Hong Ha, Winsor Lam, Tza-Jing Gung, Joung Joo Lee
  • Patent number: 8461044
    Abstract: A masking layer is formed on a dielectric region of an electronic device so that, during subsequent formation of a capping layer on electrically conductive regions of the electronic device that are separated by the dielectric region, the masking layer inhibits formation of capping layer material on or in the dielectric region. The capping layer can be formed selectively on the electrically conductive regions or non-selectively; in either case, capping layer material formed over the dielectric region can subsequently be removed, thus ensuring that capping layer material is formed only on the electrically conductive regions. Silane-based materials, can be used to form the masking layer. The capping layer can be formed of an conductive material, a semiconductor material, or an insulative material, and can be formed using any appropriate process, including conventional deposition processes such as electroless deposition, chemical vapor deposition, physical vapor deposition or atomic layer deposition.
    Type: Grant
    Filed: April 27, 2012
    Date of Patent: June 11, 2013
    Assignee: Intermolecular, Inc.
    Inventors: David E. Lazovsky, Sandra G. Malhotra, Thomas R. Boussie
  • Patent number: 8440562
    Abstract: A semiconductor structure and methods of forming the same are provided. The semiconductor structure includes a semiconductor substrate; a first dielectric layer over the semiconductor substrate; a conductive wiring in the first dielectric layer; and a copper germanide nitride layer over the conductive wiring.
    Type: Grant
    Filed: September 14, 2010
    Date of Patent: May 14, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Shi Liu, Chen-Hua Yu
  • Patent number: 8431483
    Abstract: A method of forming an electrically conductive plug includes providing an opening within electrically insulative material over a node location on a substrate. An electrically conductive material is formed within the opening and elevationally over the insulative material. Some of the conductive material is removed effective to recess an outermost surface of the conductive material to from about 100 Angstroms to about 200 Angstroms from an outermost surface of the insulative material after said removing of some of the conductive material. After removing some of the conductive material, remaining volume of the opening over the conductive material is overfilled with an electrically conductive metal material different from that of the conductive material. The metal material is polished effective to form an electrically conductive plug within the opening comprising the conductive material and the metal material. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: April 30, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Zhaoli Sun, Jun Liu, Dapeng Wang
  • Patent number: 8421234
    Abstract: A semiconductor device according to an embodiment of the present invention includes a substrate, isolation layers and active regions formed in the substrate, and arranged alternately along a first direction parallel to a surface of the substrate, an inter layer dielectric formed on the isolation layers and the active regions, and having holes for respective contact plugs on the respective active regions, barrier layers formed in the holes, each of the barrier layers being formed on a top surface of an active region exposed in a hole and on one of two side surfaces of the hole, the two side surfaces of the hole being perpendicular to the first direction, and plug material layers formed on the barrier layers in the holes.
    Type: Grant
    Filed: July 9, 2010
    Date of Patent: April 16, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kiyohito Nishihara
  • Patent number: 8409963
    Abstract: Disclosed are methods of making a semiconductor package comprising at least one thin-film capacitor embedded into at least one build-up layer of said semiconductor package. A thin-film capacitor is provided wherein the thin-film capacitor has a first electrode and a second electrode separated by a dielectric. A temporary carrier layer is applied to the first electrode and the second electrode is patterned. A PWB core and a build-up material are provided, and the build-up material is placed between the PWB core and the patterned second electrode of said thin-film capacitor. The patterned electrode side of the thin-film capacitor is laminated to the PWB core by way of the build-up material, the temporary carrier layer is removed, and the first electrode is patterned.
    Type: Grant
    Filed: April 20, 2010
    Date of Patent: April 2, 2013
    Assignee: CDA Procesing Limited Liability Company
    Inventors: Lynne E. Dellis, Karl Hartmann Dietz, David Ross McGregor
  • Patent number: 8391017
    Abstract: Provided are semiconductor packages comprising at least one thin-film capacitor attached to a printed wiring board core through build-up layers, wherein a first electrode of the thin-film capacitor comprises a thin nickel foil, a second electrode of the thin-film capacitor comprises a copper electrode, and a copper layer is formed on the nickel foil. The interconnections between the thin-film capacitor and the semiconductor device provide a low inductance path to transfer charge to and from the semiconductor device. Also provided are methods for fabricating such semiconductor packages.
    Type: Grant
    Filed: April 20, 2010
    Date of Patent: March 5, 2013
    Assignee: Georgia Tech Research Corporation
    Inventors: David Ross McGregor, Cheong-Wo Hunter Chan, Lynne E. Dellis, Fuhan Liu, Deepukumar M. Nair, Venkatesh Sundaram
  • Patent number: 8377822
    Abstract: A semiconductor structure having a cap layer formed over a metalized dielectric layer is formed by depositing manganese on the surface of the metalized dielectric layer. The deposited manganese serves as a first cap layer to remove oxidation on the surface of the metalized dielectric layer. The presence of oxidation on the surface of the metalized dielectric layer can be delirious for performance of a device constructed out of the semiconductor structure. A second cap layer is then formed by depositing silicon carbide or nitrogen enriched silicon carbide over the first cap layer.
    Type: Grant
    Filed: May 21, 2010
    Date of Patent: February 19, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazumichi Tsumura, Takamasa Usui
  • Patent number: 8367546
    Abstract: Novel low-resistivity tungsten film stack schemes and methods for depositing them are provided. The film stacks include a mixed tungsten/tungsten-containing compound (e.g., WC) layer as a base for deposition of tungsten nucleation and/or bulk layers. According to various embodiments, these tungsten rich layers may be used as barrier and/or adhesion layers in tungsten contact metallization and bitlines. Deposition of the tungsten-rich layers involves exposing the substrate to a halogen-free organometallic tungsten precursor. The mixed tungsten/tungsten carbide layer is a thin, low resistivity film with excellent adhesion and a good base for subsequent tungsten plug or line formation.
    Type: Grant
    Filed: October 18, 2011
    Date of Patent: February 5, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Raashina Humayun, Kaihan Ashtiani, Karl B. Levy
  • Publication number: 20130029486
    Abstract: A method of manufacturing an electronic device on a plastic substrate includes: providing a carrier as a rigid support for the electronic device; providing a metallic layer on the carrier; forming the plastic substrate on the metallic layer, the metallic layer guaranteeing a temporary bonding of the plastic substrate to the carrier; forming the electronic device on the plastic substrate; and releasing the carrier from the plastic substrate. Releasing the carrier comprises immersing the electronic device bonded to the carrier in a oxygenated water solution that breaks the bonds between the plastic substrate and the metallic layer.
    Type: Application
    Filed: July 26, 2012
    Publication date: January 31, 2013
    Applicant: STMICROELECTRONICS S.R.I.
    Inventors: Corrado Accardi, Stella Loverso, Sebastiano Ravesi, Noemi Graziana Sparta
  • Publication number: 20130023116
    Abstract: A Co film is formed by supplying cobalt alkylamidinate, and a combined gas containing H2 gas with at least one member selected from the group consisting of NH3, N2H4, NH (CH3)2, N2H3CH, and N2 as a reducing gas, or at least one gas selected from the group consisting of NH3, N2H4, NH (CH3)2, N2H3CH, and N2 as a reducing gas, on the surface of a base material, which consists of an SiO2 film or a barrier film serving as a primary layer. A Cu interconnection film is formed on the surface of the Co film.
    Type: Application
    Filed: September 2, 2010
    Publication date: January 24, 2013
    Applicant: ULVAC, INC.
    Inventors: Shoichiro Kumamoto, Satoru Toyoda, Harunori Ushikawa
  • Patent number: 8354341
    Abstract: A method for forming an interconnect structure includes providing a semiconductor substrate having a barrier layer, a low dielectric constant (Low K) inter-dielectric layer and a cap dielectric layer sequentially formed thereon; etching the cap dielectric layer and the Low K inter-dielectric layer sequentially until the barrier layer is exposed and a groove is formed; removing the cap dielectric layer until the Low K inter-dielectric layer is exposed; and doping a carbon element into the Low K inter-dielectric layer. The advantages of the method includes a decrease of the dielectric constant of the Low K inter-dielectric layer, thus, reduces the resistive-capacitive (RC) delay of interconnect layers of a semiconductor device and improve its operating speed and performance.
    Type: Grant
    Filed: August 11, 2011
    Date of Patent: January 15, 2013
    Assignee: Semiconductor Manufacturing International Corp.
    Inventors: Ming Zhou, Yonggen He
  • Patent number: 8354334
    Abstract: A method is disclosed for forming a patterned thick metallization atop a semiconductor chip wafer. The method includes fabricating a nearly complete semiconductor chip wafer ready for metallization; depositing a bottom metal layer of sub-thickness TK1 together with its built-in alignment mark using a hot metal process; depositing a top metal layer of sub-thickness TK2 using a cold metal process thus forming a stacked thick metallization of total thickness TK=TK1+TK2; then, use the built-in alignment mark as reference, patterning the stacked thick metallization. A patterned thick metallization is thus formed with the advantages of better metal step coverage owing to the superior step coverage nature of the hot metal process as compared to the cold metal process; and lower alignment error rate owing to the lower alignment signal noise nature of the cold metal process as compared to the hot metal process.
    Type: Grant
    Filed: October 21, 2011
    Date of Patent: January 15, 2013
    Assignee: Alpha & Omega Semiconductor Inc.
    Inventor: Il Kwan Lee
  • Patent number: 8349725
    Abstract: The present invention is a method of manufacturing a semiconductor device comprising: forming a recess in an interlayer insulating film formed on a substrate surface, the recess being configured to be embedded with an upper conductive channel mainly made of copper to be electrically connected to a lower conductive channel; supplying a gas containing an organic compound of manganese, and forming a barrier layer made of a compound of manganese for preventing diffusion of copper to the interlayer insulating film, such that the barrier layer covers an exposed surface of the interlayer insulating film; after the formation of the barrier layer, supplying organic acid to the barrier layer in order to increase a ratio of manganese in the compound of manganese forming the barrier layer; after the supply of the organic acid, forming a seed layer mainly made of copper on a surface of the barrier layer; after the formation of the seed-layer, heating the substrate in order to separate out manganese from on the surface of
    Type: Grant
    Filed: February 20, 2009
    Date of Patent: January 8, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Hiroshi Sato, Hitoshi Itoh, Kenji Matsumoto
  • Patent number: 8349731
    Abstract: Embodiments of methods for forming Cu diffusion barriers for semiconductor interconnect structures are provided. The method includes oxidizing an exposed outer portion of a copper line that is disposed along a dielectric substrate to form a copper oxide layer. An oxide reducing metal is deposited onto the copper oxide layer. The copper oxide layer is reduced with at least a portion of the oxide reducing metal that oxidizes to form a metal oxide barrier layer. A dielectric cap is deposited over the metal oxide barrier layer.
    Type: Grant
    Filed: March 25, 2011
    Date of Patent: January 8, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventor: Errol Todd Ryan
  • Publication number: 20120315756
    Abstract: Embodiments of the invention provide methods for forming conductive materials within contact features on a substrate by depositing a seed layer within a feature and subsequently filling the feature with a copper-containing material during an electroless deposition process. In one example, a copper electroless deposition solution contains levelers to form convexed or concaved copper surfaces. In another example, a seed layer is selectively deposited on the bottom surface of the aperture while leaving the sidewalls substantially free of the seed material during a collimated PVD process. In another example, the seed layer is conformably deposited by a PVD process and subsequently, a portion of the seed layer and the underlayer are plasma etched to expose an underlying contact surface. In another example, a ruthenium seed layer is formed on an exposed contact surface by an ALD process utilizing the chemical precursor ruthenium tetroxide.
    Type: Application
    Filed: March 15, 2012
    Publication date: December 13, 2012
    Inventors: Timothy W. Weidman, Arulkumar Shanmugasundram, Kapila Wijekoon, Schubert S. Chu, Frederick C. Wu, Kavita Shah
  • Patent number: 8324097
    Abstract: A copper-topped interconnect structure allows the combination of high density design areas, which have low current requirements that can be met with tightly packed thin and narrow copper traces, and low density design areas, which have high current requirements that can be met with more widely spaced thick and wide copper traces, on the same chip.
    Type: Grant
    Filed: March 31, 2010
    Date of Patent: December 4, 2012
    Assignee: National Semiconductor Corporation
    Inventor: Abdalla Aly Naem
  • Publication number: 20120292770
    Abstract: A device for preventing corrosion on sensors and a method of fabricating the same is disclosed, wherein the device comprises an insulation layer and an adhesion layer covering a metallization layer of a silicon sensor with a corrosion resistant layer located over the adhesion layer.
    Type: Application
    Filed: May 19, 2011
    Publication date: November 22, 2012
    Applicant: General Electric Company
    Inventors: Qiang Wang, Keith Matthew Jackson, Naresh Venkata Mantravadi
  • Publication number: 20120276738
    Abstract: A method for forming a TSV structure includes providing a silicon substrate with an interlayer dielectric layer formed thereon, forming a hard mask structure including a first hard mask layer including a metal element on the interlayer dielectric layer and a second hard mask layer on the first hard mask layer; forming an opening through the hard mask structure and the interlayer dielectric layer, the opening has a bottom and sidewalls in the silicon substrate. The method further includes depositing an insulating material on the hard mask structure and on the bottom and the sidewalls of the opening, subsequently removing the insulating material and the second hard mask layer until the first hard mask layer is exposed, and filling a conductive material into the opening. The method also includes removing the conductive material and the first hard mask layer by a CMP process until the interlayer dielectric layer is exposed.
    Type: Application
    Filed: November 23, 2011
    Publication date: November 1, 2012
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: ZHONGSHAN HONG
  • Publication number: 20120258591
    Abstract: A method for forming an n-type contact electrode comprising an n-type nitride semiconductor such as AlxInyGazN (with x, y, and z being rational numbers that sum to 1.0 and fulfill the relations 0<x?1.0, 0?y?0.1, and 0?z<1.0) includes: a step in which a first electrode metal layer including at least one metal selected from titanium, vanadium, and tantalum is formed on a layer of the aforementioned n-type semiconductor and then heat-treated at a temperature between 800° C. and 1200° C.; and a step in which a second electrode metal layer is formed on top of the first electrode metal layer and then heat-treated at a temperature between 700° C. and 1000° C. The second electrode metal layer contains a layer comprising a metal, such as aluminum, that has a work function between 4.0 and 4.8 eV and a resistivity between 1.5×10?6 ?·cm and 4.0×10?6 ?·cm.
    Type: Application
    Filed: December 22, 2010
    Publication date: October 11, 2012
    Applicant: TOKUYAMA CORPORATION
    Inventors: Naoki Tamari, Toru Kinoshita
  • Publication number: 20120220122
    Abstract: Provided are a nitride semiconductor device and a manufacturing method thereof. The nitride semiconductor device includes an insulating layer and a metal layer formed on a nitride semiconductor layer. The insulating layer makes contact with the nitride semiconductor layer. A separation preventing layer is formed between the insulating layer and the metal layer so as to make contact with each of these layers. The separation preventing layer has, as a main component, at least one kind of oxide of a metal selected from the group consisting of tungsten, molybdenum, chromium, titanium, nickel, hafnium, zinc, indium and yttrium.
    Type: Application
    Filed: May 10, 2012
    Publication date: August 30, 2012
    Inventors: Daisuke HANAOKA, Masafumi KONDO, Susumu OHMI, Kunihiro TAKATANI, Yoshika KANEKO
  • Patent number: 8227295
    Abstract: A method of forming integrated circuit (IC) die configured for attachment to another die or a package substrate, and stacked IC devices therefrom. At least one IC die having a top semiconductor surface and a bottom surface and at least one through substrate via (TSV) including a tip protruding beyond the bottom surface to a tip length is provided. The tip has an outer dielectric tip liner, and an electrically conductive portion within the outer dielectric tip liner. A compliant layer is applied to the bottom surface of the IC die. The dielectric tip liner is removed from a distal portion of the tip to expose an electrically conductive tip portion. A solder material is deposited on the exposed distal portion of the tip. The solder material is reflowed and coalesced to form a solder bump on the distal portion of the tip.
    Type: Grant
    Filed: April 1, 2009
    Date of Patent: July 24, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Margaret R. Simmons-Matthews, Donald C. Abbott
  • Publication number: 20120184098
    Abstract: Semiconductors are electrochemically etched in solutions containing sources of bifluoride and nickel ions. The electrochemical etching may form pores in the surface of the semiconductor in the nanometer range. The etched semiconductor is then nickel plated.
    Type: Application
    Filed: December 13, 2011
    Publication date: July 19, 2012
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventors: Gary Hamm, Jason A. Reese, George R. Allardyce
  • Publication number: 20120161323
    Abstract: Disclosed herein are a substrate for a package and a method for manufacturing the same. The substrate for the package according to the present invention includes: a base substrate; a photosensitive insulating layer formed on one surface of the base substrate and having a roughness formed on a surface thereof; and a seed layer formed on one surface of the photosensitive insulating layer.
    Type: Application
    Filed: March 4, 2011
    Publication date: June 28, 2012
    Applicant: SAMSUNG ELECTRO-MECHANICS CO., LTD.
    Inventors: Yoon Su KIM, Seon Hee MOON, Seung Wan SHIN, Young Do KWEON
  • Patent number: 8207000
    Abstract: A manufacturing method of a flat panel display according to an exemplary embodiment of the present invention includes: coating a first adhering member on a first supporting plate; disposing a first substrate on the first adhering member; using ultrasonic waves to adhere the first supporting plate and the first substrate; and forming a gate line, a data line, a thin film transistor connected to the gate line and the data line, and a pixel electrode connected to the thin film transistor on the first substrate. According to the manufacturing method of the flat panel display according to an exemplary embodiment of the present invention, the first adhering member made of the plurality of adhering particles is melted by using the ultrasonic waves without an additional adhering film to adhere the flexible first substrate and the first supporting plate, thereby reducing the overall manufacturing cost.
    Type: Grant
    Filed: July 6, 2010
    Date of Patent: June 26, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Myung-Hwan Kim, Dae-Jin Park, Jung-Hun Noh
  • Publication number: 20120153496
    Abstract: The present invention relates to a through silicon via (TSV) for 3D packaging to integrate a semiconductor device and a method for manufacturing the same, and more particularly, to a through silicon via (TSV) for 3D packaging of a semiconductor device that is capable of improving production efficiency, having very high electric conductivity, and minimizing electrical signal delay, without using a carrier wafer by self-aligning substrates in a low temperature state and sequentially bonding a plurality of semiconductor dies (or semiconductor chips), and a method of manufacturing the same.
    Type: Application
    Filed: February 22, 2011
    Publication date: June 21, 2012
    Applicant: KOREA INSTITUTE OF MACHINERY & MATERIALS
    Inventors: Jae-Hak Lee, Chang-Woo Lee, Joon-Yub Song, Tae-Ho Ha
  • Publication number: 20120139118
    Abstract: A semiconductor chip includes a substrate having a first surface and a second surface opposite to the first surface, a chip pad disposed on the first surface of the substrate, and a through-silicon via (TSV) including a plurality of sub vias electrically connected to the chip pad at different positions.
    Type: Application
    Filed: September 23, 2011
    Publication date: June 7, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Seong Cheol KIM
  • Patent number: 8193090
    Abstract: A masking layer is formed on a dielectric region of an electronic device so that, during subsequent formation of a capping layer on electrically conductive regions of the electronic device that are separated by the dielectric region, the masking layer inhibits formation of capping layer material on or in the dielectric region. The capping layer can be formed selectively on the electrically conductive regions or non-selectively; in either case (particularly in the latter), capping layer material formed over the dielectric region can subsequently be removed, thus ensuring that capping layer material is formed only on the electrically conductive regions. Silane-based materials, such as silane-based SAMs, can be used to form the masking layer. The capping layer can be formed of an electrically conductive material (e.g.
    Type: Grant
    Filed: July 28, 2011
    Date of Patent: June 5, 2012
    Assignee: Intermolecular, Inc.
    Inventors: David E. Lazovsky, Sandra G. Malhotra, Thomas R. Boussie
  • Publication number: 20120126415
    Abstract: High aspect ratio trenches may be filled with metal that grows more from the bottom than the top of the trench. As a result, the tendency to form seams or to close off the trench at the top during filling may be reduced in some embodiments. Material that encourages the growth of metal may be formed in the trench at the bottom, while leaving the region of the trench near the top free of such material to encourage growth upwardly from the bottom.
    Type: Application
    Filed: November 18, 2010
    Publication date: May 24, 2012
    Inventors: Shai Haimson, Avi Rozenblat, Dror Horvitz, Maor Rotlain, Rotem Drori
  • Patent number: 8169077
    Abstract: Dielectric interconnect structures and methods for forming the same are provided. Specifically, the present invention provides a dielectric interconnect structure having a noble metal layer (e.g., Ru, Ir, Rh, Pt, RuTa, and alloys of Ru, Ir, Rh, Pt, and RuTa) that is formed directly on a modified dielectric surface. In a typical embodiment, the modified dielectric surface is created by treating an exposed dielectric layer of the interconnect structure with a gaseous ion plasma (e.g., Ar, He, Ne, Xe, N2, H2, NH3, and N2H2). Under the present invention, the noble metal layer could be formed directly on an optional glue layer that is maintained only on vertical surfaces of any trench or via formed in the exposed dielectric layer. In addition, the noble metal layer may or may not be provided along an interface between the via and an internal metal layer.
    Type: Grant
    Filed: August 4, 2008
    Date of Patent: May 1, 2012
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Louis C. Hsu, Rajiv V. Joshi
  • Patent number: 8163602
    Abstract: There is provided a UV energy curable tape comprising an adhesive material including a UV energy curable oligomer, a UV energy initiator, and a material which emits optical light when the tape composition is substantially fully cured. A semiconductor chip made using the tape is also provided.
    Type: Grant
    Filed: January 21, 2011
    Date of Patent: April 24, 2012
    Assignee: International Business Machines Corporation
    Inventors: Timothy C. Krywanczyk, Donald W. Brouillette, Steven A. Martel, Matthew R. Whalen
  • Publication number: 20120064714
    Abstract: Disclosed are embodiments of a contact formation technique that incorporates a preventative etch step to reduce interlayer dielectric material flaking (e.g., borophosphosilicate glass (BPSG) flaking) and, thereby to reduce surface defects. Specifically, contact openings, which extend through a dielectric layer to semiconductor devices in and/or on a center portion of a substrate, can be filled with a conductor layer deposited by chemical vapor deposition (CVD). Chemical mechanical polishing (CMP) of the conductor layer can be performed to complete the contact structures. However, before the CMP process is performed (e.g., either before the contact openings are ever formed or before the contact openings are filled), a preventative etch process can be performed to remove any dielectric material from above the edge portion of the substrate. Removing the dielectric material from above the edge portion of the substrate prior to CMP reduces the occurrence of surface defects caused by dielectric material flaking.
    Type: Application
    Filed: September 13, 2010
    Publication date: March 15, 2012
    Applicant: International Business Machines Corporation
    Inventors: Yoba Amoah, Brian M. Czabaj, Thomas J. Dunbar, Jeffrey P. Gambino, Molly J. Leitch, Polina A. Razina
  • Patent number: 8129271
    Abstract: A film forming method is provided with a substrate placing step wherein a substrate is placed in a process chamber in an airtight status; a first film forming step wherein the process chamber is supplied with water vapor and a material gas including an organic compound of copper, and an adhered layer of copper is formed on the substrate; an exhaust step wherein the water vapor and the material gas in the process chamber are exhausted; and a second film forming step wherein the process chamber is resupplied with only the material gas and a copper film is further formed on the adhered layer.
    Type: Grant
    Filed: July 17, 2007
    Date of Patent: March 6, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Yasuhiko Kojima, Taro Ikeda, Tatsuo Hatano
  • Patent number: 8129270
    Abstract: Top-down methods of increasing reflectivity of tungsten films to form films having high reflectivity, low resistivity and low roughness are provided. The methods involve bulk deposition of tungsten followed by a removing a top portion of the deposited tungsten. In particular embodiments, removing a top portion of the deposited tungsten involve exposing it to a fluorine-containing plasma. The methods produce low resistivity tungsten bulk layers having lower roughness and higher reflectivity. The smooth and highly reflective tungsten layers are easier to photopattern than conventional low resistivity tungsten films. Applications include forming tungsten bit lines.
    Type: Grant
    Filed: December 10, 2008
    Date of Patent: March 6, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Anand Chandrashekar, Raashina Humayun
  • Patent number: 8124528
    Abstract: Methods for forming ruthenium films and semiconductor devices such as capacitors that include the films are provided.
    Type: Grant
    Filed: April 10, 2008
    Date of Patent: February 28, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Vishwanath Bhat, Dan Gealy, Vassil Antonov
  • Patent number: 8119515
    Abstract: A bonding pad includes a conductive layer formed over an insulation layer, and a dummy pattern penetrating the insulation layer and stuck in the conductive layer, wherein a bonding process is performed.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: February 21, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jeong-Soo Kim
  • Patent number: 8110498
    Abstract: When forming sophisticated metallization systems, surface integrity of an exposed metal surface, such as a copper-containing surface, may be enhanced by exposing the surface to a vapor of a passivation agent. Due to the corresponding interaction with the metal surface, enhanced integrity may be accomplished, while at the same time damage of exposed dielectric surface portions may be significantly reduced compared to conventional aggressive wet chemical cleaning processes that are typically used in conventional patterning regimes.
    Type: Grant
    Filed: September 9, 2009
    Date of Patent: February 7, 2012
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Matthias Schaller, Daniel Fischer, Susanne Leppack
  • Patent number: 8110502
    Abstract: A method for manufacturing a semiconductor device is provided. In a specific embodiment, the method includes providing a semiconductor substrate with a surface region. The surface region includes one or more layers overlying the semiconductor substrate. Additionally, the method includes forming a dielectric layer overlying the surface region and forming a diffusion barrier layer overlying the dielectric layer. Moreover, the method includes subjecting the diffusion barrier layer to a plasma environment to facilitate adhesion between the diffusion barrier layer and the dielectric layer at an interface region. Also, the method includes processing the semiconductor substrate while maintaining attachment between the dielectric layer and the diffusion barrier layer at the interface region. The subjecting the diffusion barrier layer to a plasma environment includes maintaining a thickness of the barrier diffusion layer.
    Type: Grant
    Filed: March 30, 2006
    Date of Patent: February 7, 2012
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Ting Cheong Ang
  • Patent number: 8105937
    Abstract: A dielectric layer is patterned with at least one line trough and/or at least one via cavity. A metallic nitride liner is formed on the surfaces of the patterned dielectric layer. A metal liner is formed on the surface of the metallic nitride liner. A conformal copper nitride layer is formed directly on the metal liner by atomic layer deposition (ALD) or chemical vapor deposition (CVD). A Cu seed layer is formed directly on the conformal copper nitride layer. The at least one line trough and/or the at least one via cavity are filled with an electroplated material. The direct contact between the conformal copper nitride layer and the Cu seed layer provides enhanced adhesion strength. The conformal copper nitride layer may be annealed to covert an exposed outer portion into a contiguous Cu layer, which may be employed to reduce the thickness of the Cu seed layer.
    Type: Grant
    Filed: August 13, 2008
    Date of Patent: January 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Tien-Jen Cheng, Zhengwen Li, Keith Kwong Hon Wong, Huilong Zhu
  • Patent number: 8089113
    Abstract: The present method of fabricating a memory device includes the steps of providing a dielectric layer, providing an opening in the dielectric layer, providing a first conductive body in the opening in the dielectric layer, providing a switching body in the opening, and providing a second conductive body in the opening.
    Type: Grant
    Filed: December 5, 2006
    Date of Patent: January 3, 2012
    Assignee: Spansion LLC
    Inventors: Suzette K. Pangrle, Steven Avanzino, Sameer Haddad, Michael VanBuskirk, Manuj Rathor, James Xie, Kevin Song, Christie Marrian, Bryan Choo, Fei Wang, Jeffrey A. Shields
  • Patent number: 8067304
    Abstract: A method is disclosed for forming a patterned thick metallization atop a semiconductor chip wafer. The method includes fabricating a nearly complete semiconductor chip wafer ready for metallization; depositing a bottom metal layer of sub-thickness TK1 together with its built-in alignment mark using a hot metal process; depositing a top metal layer of sub-thickness TK2 using a cold metal process thus forming a stacked thick metallization of total thickness TK=TK1+TK2; then, use the built-in alignment mark as reference, patterning the stacked thick metallization. A patterned thick metallization is thus formed with the advantages of better metal step coverage owing to the superior step coverage nature of the hot metal process as compared to the cold metal process; and lower alignment error rate owing to the lower alignment signal noise nature of the cold metal process as compared to the hot metal process.
    Type: Grant
    Filed: January 20, 2009
    Date of Patent: November 29, 2011
    Assignee: Alpha and Omega Semiconductor, Inc.
    Inventor: Il Kwan Lee
  • Patent number: 8053365
    Abstract: Novel low-resistivity tungsten film stack schemes and methods for depositing them are provided. The film stacks include a mixed tungsten/tungsten-containing compound (e.g., WC) layer as a base for deposition of tungsten nucleation and/or bulk layers. According to various embodiments, these tungsten rich layers may be used as barrier and/or adhesion layers in tungsten contact metallization and bitlines. Deposition of the tungsten-rich layers involves exposing the substrate to a halogen-free organometallic tungsten precursor. The mixed tungsten/tungsten carbide layer is a thin, low resistivity film with excellent adhesion and a good base for subsequent tungsten plug or line formation.
    Type: Grant
    Filed: December 21, 2007
    Date of Patent: November 8, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Raashina Humayun, Kaihan Ashtiani, Karl B. Levy
  • Patent number: 8053681
    Abstract: An IC package includes: a multi-layered PCB having a plurality of insulating layers and a plurality of conductive pattern layers stacked in sequence and a plurality of via-holes formed through the plurality of the insulating layers for an electrical connection between the layers; and an IC chip disposed in a core insulating layer of the plurality of the insulating layers to be embedded in the multi-layered PCB and including a plurality of input/output pads on their surface. The input/output pads disposed at an outermost area of the IC chip are coupled to outer terminals by connection members without passing through said via-hole, the remaining input/output pads except for the input/output pads disposed at the outermost area of the IC chip are coupled to the outer terminals through the via-hole.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: November 8, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-Hyun Jung, Shi-Yun Cho, Young-Min Lee, Youn-Ho Choi
  • Publication number: 20110237073
    Abstract: A method of forming a through silicon via includes forming a via opening in a substrate using a hard mask, wherein a polymer is formed in the via opening. A first wet clean removes a first portion of the polymer and forms a first carbon containing oxide along portions of the sidewalls. A first ash process modifies the first carbon containing oxide and removes a second portion of the polymer. A first wet etch removes the modified first carbon containing oxide and a third portion of the polymer. A second ash process forms a second carbon containing oxide along at least a portion of the sidewalls. A second wet etch process removes the second carbon containing oxide and a fourth portions of the polymer. A third ash process forms a third carbon containing oxide along portions of the sidewalls and removes any remaining portions of the polymer.
    Type: Application
    Filed: March 26, 2010
    Publication date: September 29, 2011
    Inventors: Thuy B. Dao, Ross E. Noble, Dina H. Triyoso
  • Patent number: 8021976
    Abstract: A method and structure are provided to enable wire bond connections over active and/or passive devices and/or low-k dielectrics, formed on an Integrated Circuit die. A semiconductor substrate having active and/or passive devices is provided, with interconnect metallization formed over the active and/or passive devices. A passivation layer formed over the interconnect metallization is provided, wherein openings are formed in the passivation layer to an upper metal layer of the interconnect metallization. Compliant metal bond pads are formed over the passivation layer, wherein the compliant metal bond pads are connected through the openings to the upper metal layer, and wherein the compliant metal bond pads are formed substantially over the active and/or passive devices. The compliant metal bond pads may be formed of a composite metal structure.
    Type: Grant
    Filed: May 8, 2003
    Date of Patent: September 20, 2011
    Assignee: Megica Corporation
    Inventors: Jin-Yuan Lee, Ying-chih Chen
  • Publication number: 20110223763
    Abstract: The present invention addresses this need by providing methods for depositing low resistivity tungsten films in small features and features having high aspect ratios. The methods involve depositing very thin tungsten nucleation layers by pulsed nucleation layer (PNL) processes and then using chemical vapor deposition (CVD) to deposit a tungsten layer to fill the feature. Depositing the tungsten nucleation layer involves exposing the substrate to alternating pulses of a boron-containing reducing agent and a tungsten-containing precursor without using any hydrogen gas, e.g., as a carrier or background gas. Using this process, a conformal tungsten nucleation layer can be deposited to a thickness as small as about 10 Angstroms. The feature may then be wholly or partially filled with tungsten by a hydrogen reduction chemical vapor deposition process. Resistivities of about 14 ??-cm for a 500 Angstrom film may be obtained.
    Type: Application
    Filed: April 27, 2011
    Publication date: September 15, 2011
    Inventors: Lana Hiului Chan, Kaihan Ashtiani, Joshua Collins
  • Patent number: 8017519
    Abstract: Disclosed is a semiconductor device including: a substrate; a wiring layer formed on the substrate and made of copper or a copper alloy; a copper diffusion barrier film formed on the wiring layer and made of an amorphous carbon film formed by CVD using a processing gas containing a hydrocarbon gas; and a low-k insulating film formed on the copper diffusion barrier film.
    Type: Grant
    Filed: December 26, 2007
    Date of Patent: September 13, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Hiraku Ishikawa