Having Adhesion Promoting Layer Patents (Class 438/654)
  • Patent number: 6423625
    Abstract: Cu, for its rather loe resistivity, will be widely used in sub-quarter micron meter ULSI devices. However, it is well known that Cu is easy to be corroded as exposed in air. In packaging of chips the bonding pads making of Cu will thus oxides. In addition, the reaction between Au-ball and Cu pads is very poor. On the other hand, a native AlOx layer, about 3-4 nm in thickness, will form as Al exposes in air; the formed layer is inert and is capable of protecting Al from corrosion. Furthermore, the reaction between Au-ball and Al was very well. Therefore, with the methods of the present invention, Al or AlCu as a glue and protection layer is implemented on Cu bonding pads for successful Au wiring.
    Type: Grant
    Filed: August 30, 1999
    Date of Patent: July 23, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company Ltd.
    Inventors: Syun-Ming Jang, Mong-Song Liang, Chen-Hua Yu, Chung-Shi Liu, Jane-Bai Lai
  • Patent number: 6420258
    Abstract: A novel and improved method of fabricating an integrated circuit, in which special copper films are formed by a combination of physical vapor deposition (PVD), chemical mechanical polish (CMP) and electrochemical copper deposition (ECD) techniques. The methods of the present invention make efficient use of several process steps resulting in less processing time, lower costs and higher device reliability. By these techniques, high aspect ratio trenches can be filled with copper without the problem of dishing. A special, selective electrochemical deposition (ECD) of copper metal is utilized taking place only on the seed layer in the trench. This auto-plating or “plate-up” occurs only in the trench and provides good sealing around the trench perimeter and fine copper metal coverage of the trench for subsequent robust interconnects.
    Type: Grant
    Filed: November 12, 1999
    Date of Patent: July 16, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Sheng Hsiung Chen, Ming-Hsing Tsai
  • Patent number: 6420262
    Abstract: Structures and methods are described that inhibit atomic migration which otherwise creates an undesired capacitive-resistive effect arising from a relationship between a metallization layer and an insulator layer of a semiconductor structure. A layer of an inhibiting compound may be used to inhibit a net flow of atoms so as to maintain conductivity of the metallization layer and maintain the low dielectric constant of a suitable chosen insulator material. Such a layer of inhibiting compound continues to act even with the reduction of ground rules in succeeding generations of semiconductor processing technology. One embodiment includes an insulator having a first substance, wherein the first substance is selected from a group consisting of a polymer and an insulating oxide compound. The embodiment includes an inhibiting layer on the insulator, wherein the inhibiting layer includes a compound formed from a reaction that includes the first substance and a second substance.
    Type: Grant
    Filed: January 18, 2000
    Date of Patent: July 16, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Paul A. Farrar
  • Patent number: 6420260
    Abstract: The present disclosure pertains to particular Ti/TiN/TiNx barrier/wetting layer structures which enable the warm aluminum filling of high aspect vias while providing an aluminum fill exhibiting a high degree of aluminum <111> crystal orientation. It has been discovered that an improved Ti/TiN/TiNx barrier layer deposited using IMP techniques can be obtained by increasing the thickness of the first layer of Ti to range from greater than about 100 Å to about 500 Å (the feature geometry controls the upper thickness limit); by decreasing the thickness of the TiN second layer to range from greater than about 100 Å to less than about 800 Å (preferably less than about 600 Å); and, by controlling the application of the TiNx third layer to provide a Ti content ranging from about 50 atomic percent titanium (stoichiometric) to about 100 atomic percent titanium.
    Type: Grant
    Filed: October 24, 2000
    Date of Patent: July 16, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Kenny King-tai Ngan, Seshadri Ramaswami
  • Patent number: 6414344
    Abstract: A semiconductor device for use in a memory cell includes an active matrix provided with a silicon substrate, a transistor formed on the silicon substrate and isolation regions for isolating the transistor, a capacitor structure formed on top of the active matrix and a metal interconnection for electrically connecting the capacitor structure to the transistor, wherein the capacitor structure includes a bottom and a top electrodes and a capacitor thin film sandwiched therebetween. In the semiconductor device, the bottom electrode is made of a material such as iridium, ruthenium or the like. In order to improve the adhesion between the bottom electrode and insulating layers adjacent thereto, the bottom electrode is encompassed with a metal oxide such as a iridium oxide, ruthenium oxide or the like.
    Type: Grant
    Filed: June 28, 2000
    Date of Patent: July 2, 2002
    Assignee: Hyundai Electronics Industries CI., Ltd.
    Inventor: Soon-Yong Kweon
  • Patent number: 6403465
    Abstract: A method is disclosed to improve copper barrier and adhesion properties of copper interconnections in integrated circuits. It is shown that combining ion metal plasma (IMP) deposition along with in-situ chemical vapor deposition (CVD) of barrier and adhesion materials provides the desired adhesion of and barrier to diffusion of copper in damascene structures. IMP deposition is performed with tantalum or tantalum nitride while CVD deposition is performed with a binary or a ternary compound from a group consisting of titanium nitride, tungsten nitride, tungsten silicon nitride, tantalum silicon nitride, titanium silicon nitride. IMP deposition provides good adhesion of copper to insulator materials, while CVD deposition provides good sidewall coverage in a copper filled trench and a copper seed layer provides good adhesion of bulk copper to adhesion/barrier layer. The IMP/CVD deposited adhesion/barrier layer is thin, thus providing low via resistance.
    Type: Grant
    Filed: December 28, 1999
    Date of Patent: June 11, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chung-Shi Liu, Chen-Hua Yu
  • Patent number: 6403466
    Abstract: A manufacturing method for an integrated circuit is provided having a semiconductor substrate with a semiconductor device. A device dielectric layer is formed on the semiconductor substrate. A channel dielectric layer on the device dielectric layer has an opening formed therein. A barrier layer lines the channel opening. A seed layer is deposited over the barrier layer and a conductor core is deposited over the seed layer, filling the opening of in the channel dielectric layer. The seed and barrier layers are then removed above the dielectric layer. A conductive layer is then deposited, filling any voids or depressions in the conductor core, and is subsequently removed above the dielectric layer resulting in a conductive channel of uniform thickness.
    Type: Grant
    Filed: March 13, 2001
    Date of Patent: June 11, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Sergey D. Lopatin
  • Publication number: 20020068445
    Abstract: A method for depositing an adhesion layer in a contact region on a semiconductor substrate provides for sufficient coverage on the bottom and sidewalls of the contact region. In an example embodiment, a contact region having a bottom and sidewalls has a first coat of the adhesion layer deposited thereon at a thickness greater than the thickness on the sidewall. To compensate for the narrower adhesion layer thickness on the sidewalls, a second coat of the adhesion layer is deposited so that the second coat on the sidewalls is at a thickness greater than the second coat thickness on the bottom. The adhesion layer is titanium nitride although other materials may be used as well.
    Type: Application
    Filed: December 6, 2000
    Publication date: June 6, 2002
    Applicant: PHILIPS ELECTRONICS NORTH AMERICA CORPORATION
    Inventors: Jeffrey Klatt, Somchintana Norasetthekul
  • Patent number: 6391771
    Abstract: The present invention provides Cu lines which are enclosed within Cu diffusion barrier layers, for IC structures such as semiconductor devices. The Cu lines (310) have conventional top (316) and bottom (318) Cu diffusion barrier layers and novel sidewall layers (324 and 326) comprising Cu diffusion barrier materials. The present invention also provides for conductive interconnect lines for semiconductor devices which compensate partly or completely for a misalignment between the line etch pattern and the underlying contact element, such as a via plug. The misalignment tolerant line (430) is formed by fabricating novel sidewalls (438 and 440) on the line wherein the sidewalls have a thickness which equals or exceeds the width of the gap (431) which is caused by the misalignment. The misalignment tolerant line compensates for the misalignment gap and thereby prevents etching a trench in the contact element.
    Type: Grant
    Filed: July 23, 1998
    Date of Patent: May 21, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Mehul B. Naik, Suketu A. Parikh
  • Publication number: 20020058411
    Abstract: A semiconductor device including a semiconductor substrate, an insulating layer formed on the substrate, a dielectric organic layer formed on the insulating layer and having a dielectric constant of not more than 3.0, and an interconnection layer in contact with the insulating layer in the dielectric organic layer, wherein the upper surface of the interconnection layer is formed higher than the upper surface of the dielectric organic layer, and a method of manufacture thereof.
    Type: Application
    Filed: December 27, 2001
    Publication date: May 16, 2002
    Inventors: Toshiaki Hasegawa, Hajime Nakayama
  • Patent number: 6387790
    Abstract: A method of fabricating a Ti-containing liner having good contact resistance and coverage of a contact hole is provided. The method which converts an amorphous region of ionized metal plasma deposited Ti into a substantially crystalline region includes (a) providing a structure having at least one contact hole formed therein, said at least one contact hole exposing at least a portion of a cobalt disilicide contact formed in a semiconductor substrate; (b) depositing a Ti/TiN liner in said at least one contact hole by ionized metal plasma deposition; (c) annealing said Ti/TiN liner under conditions effective to recrystallize any amorphous region formed during said annealing into a crystalline region including a TiSi2 top layer and a CoSix bottom layer; and (d) optionally forming a conductive material on said Ti/TiN liner.
    Type: Grant
    Filed: June 23, 2000
    Date of Patent: May 14, 2002
    Assignee: International Business Machines Corporation
    Inventors: Anthony Gene Domenicucci, Chung-Ping Eng, William Joseph Murphy, Tina J. Wagner, Yun-Yu Wang, Kwong Hon Wong
  • Patent number: 6383929
    Abstract: In integrated circuits having copper interconnect and low-k interlayer dielectrics, a problem of open circuits after heat treatment was discovered and solved by the use of a first liner layer of Ti, followed by a conformal liner layer of CVD TiN, followed in turn by a final liner layer of Ta or TaN, thus improving adhesion between the via and the underlying copper layer while reducing the increase in resistance caused by alloying between the Ti and the Copper to an acceptable amount.
    Type: Grant
    Filed: January 11, 2001
    Date of Patent: May 7, 2002
    Assignees: International Business Machines Corporation, Infineon Technologies North America Corp.
    Inventors: Steven H. Boettcher, Herbert L. Ho, Mark Hoinkis, Hyun Koo Lee, Yun-Yu Wang, Kwong Hon Wong
  • Patent number: 6383915
    Abstract: We have discovered particular wetting layer or wetting/barrier layer structures which enable depositing of overlying aluminum interconnect layers having <111> texturing sufficient to provide a Rocking Curve FWHM angle &thgr; of about 1° or less. The aluminum interconnect layer exhibiting a Rocking Curve FWHM angle &thgr; of about 1° or less exhibits excellent electromigration properties. In addition when the aluminum layer is subsequently pattern etched, the sidewalls of the etched aluminum pattern exhibit a surprising reduction in pitting compared with pattern etched aluminum layers exhibiting higher Rocking Curve FWHM angles.
    Type: Grant
    Filed: February 3, 1999
    Date of Patent: May 7, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Jingang Su, Gongda Yao, Zhang Xu, Fusen Chen
  • Patent number: 6380082
    Abstract: An improved method of preventing copper poisoning in the fabrication of metal interconnects on a semiconductor substrate comprises sequential formation of a copper layer, a first stop layer, a first inter-metal dielectric layer, a second stop layer, and a second inter-metal dielectric layer over the substrate. The second inter-metal dielectric layer and the second stop layer are defined to form an opening. A conformal first glue/barrier layer is formed over the substrate. The first glue/barrier layer and the first inter-metal dielectric layer are patterned to form a via hole below the opening until the first stop layer is exposed. Spacers are formed on sidewalls of the opening and the via hole below the opening. The first stop layer at bottom of the via hole is removed to expose the copper layer.
    Type: Grant
    Filed: February 16, 1999
    Date of Patent: April 30, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Chih-Ming Huang, Tsu-An Lin
  • Patent number: 6368954
    Abstract: A semiconductor interconnect structure having a substrate with an interconnect structure patterned thereon, a barrier layer, a pre-seed layer, a seed layer, a bulk interconnect layer, and a sealing layer. A process for creating such structures is described. The barrier layer is formed using atomic layer deposition techniques. Subsequently, a pre-seed layer is formed to create a heteroepitaxial interface between the barrier and pre-seed layers. This is accomplished using atomic layer epitaxy techniques to form the pre-seed layer. Thereafter, a seed layer is formed by standard deposition techniques to create a homoepitaxial interface between the seed and pre-seed layers. Upon this layered structure further bulk deposition of conducting materials is done. Excess material is removed from the bulk layer and a sealing layer is formed on top to complete the interconnect structure.
    Type: Grant
    Filed: July 28, 2000
    Date of Patent: April 9, 2002
    Assignees: Advanced Micro Devices, Inc., Genus Inc.
    Inventors: Sergey D. Lopatin, Carl Galewski, Takeshi T. N. Nogami
  • Patent number: 6358844
    Abstract: A tungsten plug deposition process that incorporates a dual-step nucleation method and the semiconductor structure formed by such method are disclosed. In the tungsten plug deposition process, a first nucleation layer is formed in the via openings in the semiconductor substrate by flowing a reactant gas mixture of WF6/SiH4 at a first mix ratio between 1:1 and 1:10 in a chemical vapor deposition chamber. A second nucleation layer is then formed on top of the first nucleation layer by flowing a reactant gas mixture of WF6/SiH4 at a second mix ratio between 2:1 and 5:1 into the chemical vapor deposition chamber. A total thickness of less than 500 Å for the first and second nucleation layers is normally sufficient. The first nucleation layer formed is a silicon rich layer, or a WSix layer, while the second nucleation layer is substantially W.
    Type: Grant
    Filed: June 1, 2000
    Date of Patent: March 19, 2002
    Assignee: Taiwan Semiconductor Manufacturing, Company, Ltd
    Inventors: Mei-Yun Wang, Shau-Lin Shue
  • Patent number: 6355562
    Abstract: A method is provided for promoting adhesion of CVD copper to diffusion barrier material in integrated circuit manufacturing. The method uses a two-step CVD copper metallization process. Following deposition of a diffusion barrier layer on the IC substrate, a first layer of CVD copper is deposited on the barrier material. The first layer is preferably thin (less than 300 Å) and deposited using a precursor which yields an adherent conforming layer of copper. The suggested precursor for use in depositing the first layer of CVD copper is (hfac)Cu(1,5-Dimethylcyclooctadiene). The first layer of CVD copper serves as a “seed” layer to which a subsequently-deposited “fill” or “bulk” layer of CVD copper will readily adhere. The second copper deposition step of the two-step process is the deposit of a second layer of copper by means of CVD using another precursor, different from (hfac)Cu(1,5-Dimethylcyclooctadiene).
    Type: Grant
    Filed: July 1, 1998
    Date of Patent: March 12, 2002
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Lawrence J. Charneski, Tue Nguyen, Gautam Bhandari
  • Patent number: 6350667
    Abstract: The present invention is a new and improved method for fabricating aluminum metal pad structures wherein a thin adhesion layer of aluminum is placed in between the underlying copper metal and the top tantalum nitride pad barrier layer providing improved adhesion to the pad metal stack structure. In summary, present invention teaches a method comprising of forming a copper underlayer, forming the key aluminum adhesion layer, forming the tantalum nitride barrier layer, and finally forming the aluminum pad. The problem of adhesion of metal pad to underlying layers, dielectrics, and polymers in is not unique to the manufacture of multi-layer electronic circuit chips and modules, but is encountered in other technologies involved in other types of electronic elements, e.g., the formation of capacitors or even other technologies entirely unrelated to the fabrication of electrical devices.
    Type: Grant
    Filed: November 1, 1999
    Date of Patent: February 26, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Sheng-Hsiung Chen, Fan Keng Yang
  • Publication number: 20020022365
    Abstract: The present invention provides a method for wiring, which plugs conductive material sufficiently into a via hole produced in dielectronics (hereinafter, referred to as “a via hole”) and prevents generating a void. The via hole is made through a via hole patterning step and a cleaning step. At a surface treatment step, substance having chemical affinity (active site) is adsorbed to the surface of the via hole. Next, an electron donative layer is made by depositing substance having an electron donative characteristic on the active sites acting as cores at an electron donative layer formation step. Then, the wiring material is plugged at a via hole plug step.
    Type: Application
    Filed: March 31, 2000
    Publication date: February 21, 2002
    Inventor: Takayuki Ohba
  • Publication number: 20020019121
    Abstract: There is disclosed a method of forming a metal wiring in a semiconductor device. The method includes forming a seed layer on a semiconductor substrate in which given structures including a lower metal wiring are formed, forming a photosensitive film pattern so that the seed layer can be exposed in the lower wiring portion, filling a metal layer by electroplating method in the pattern portion of the photosensitive film, removing the photosensitive film pattern, forming a diffusion barrier layer spacer on the sidewall of the metal layer, and forming an insulating film on the entire structure. Therefore, the present invention can solve poor contact with a lower wiring that is caused by shortage of processional margin in the process of forming an upper metal wiring in a higher-integration semiconductor device.
    Type: Application
    Filed: June 20, 2001
    Publication date: February 14, 2002
    Inventor: Sung Gyu Pyo
  • Patent number: 6344410
    Abstract: A semiconductor metalization barrier, and manufacturing method therefor, is provided which is a stack of a cobalt layer and cobalt tungsten layer deposited on a copper bonding pad.
    Type: Grant
    Filed: August 8, 2000
    Date of Patent: February 5, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Sergey D. Lopatin, Shekhar Pramanick, Dirk Brown
  • Patent number: 6342447
    Abstract: An insulation layer 12 is formed on a semiconductor substrate 11 and has a groove 12a for formation of a wiring layer 15 in a predetermined region. A barrier metal is formed on an inner wall of the groove 12a and prevents diffusion of atoms constituting the wiring layer 15, into the insulation layer 12. A seed layer 14 is formed on the barrier metal 13 formed at the bottom of the groove 12a and serves as a kernel of crystal growth when forming the wiring layer 15. The seed layer has crystal orientation of (1 1 1) as a dominant. The wiring layer is formed to bury the groove 12a. Moreover, the wiring layer has crystal orientation of (1 1 1) as a dominant, which suppresses electromigration.
    Type: Grant
    Filed: May 25, 2000
    Date of Patent: January 29, 2002
    Assignee: NEC Corporation
    Inventor: Akira Hoshino
  • Publication number: 20020009817
    Abstract: A transfer film capable of transferring thin films such as a conducting film, a heat absorption film onto a display apparatus panel, a method for fabricating thin films for a display apparatus panel using the transfer film, and a display apparatus having thin films fabricated by the method are provided. The transfer film is constructed by forming a conducting film layer and an adhesion layer on a base film. The transfer film is disposed on the display apparatus, and a heat pressure adhesive bonding process is performed to transfer the conducting film layer to the display apparatus. A high quality display apparatus is realized by fabricating a high quality conducting film using the transferring process.
    Type: Application
    Filed: May 18, 2001
    Publication date: January 24, 2002
    Applicant: Sony Corporation
    Inventors: Koji Fujita, Katsutoshi Ohno, Kazumasa Nomura
  • Patent number: 6340629
    Abstract: Disclosed is a method for forming gate electrodes using tungsten formed on a tungsten nitride layer by the chemical vapor deposition(CVD) process rather than the physical vapor deposition(PVD) process. According to the method for forming gate electrodes of the present invention, a silicon layer is formed as a conductive layer for gate electrodes. A tungsten nitride layer is formed on the silicon layer, and then the tungsten nitride layer is thermally treated thereby making a surface of the tungsten nitride layer a first tungsten layer. Next, a second tungsten layer is formed by using the first tungsten layer as a nucleation layer according to the CVD process. According to the present method for forming gate electrodes, tungsten can be deposited by the CVD process rather than by the PVD process. Therefore, those problems such as washing equipment and the particle source which are necessarily accompanied with the PVD process can be prevented, thereby improving productivity and yield.
    Type: Grant
    Filed: December 17, 1999
    Date of Patent: January 22, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: In Seok Yeo, Jean Hong Lee
  • Patent number: 6339025
    Abstract: A method of fabricating a copper capping layer. A silicon rich nitride layer is formed on an exposed copper layer. Since the silicon rich nitride layer has more dangling bonds inside, the silicon in the silicon rich nitride layer easily reacts with the copper and a copper silicide layer is formed between the copper and the silicon rich nitride layer. Therefore, adhesion of the copper and the silicon rich nitride layer can be improved.
    Type: Grant
    Filed: April 3, 1999
    Date of Patent: January 15, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Chih-Chien Liu, Kun-Chih Wang, Wen-Yi Hsieh, Yimin Huang
  • Publication number: 20020001943
    Abstract: The present invention provides a metallization structure for semiconductor device interconnects such as a conductive line, including a substrate with a substantially planar upper surface, foundation metal layer disposed on a portion of the substrate upper surface, primary conducting metal layer overlying the foundation metal layer, and metal spacer on the sidewalls of the primary conducting metal layer and the foundation metal layer. The present invention also provides a metallization structure including a substrate with a foundation metal layer disposed thereon, dielectric layer with an aperture therethrough being disposed on the substrate, where the bottom of the aperture exposes the foundation metal layer of the substrate and a metal spacer on the sidewall of the aperture and a line or plug of a primary conducting metal fill the remaining portion of the aperture. The present invention also includes methods for making the metallization structures.
    Type: Application
    Filed: April 9, 2001
    Publication date: January 3, 2002
    Inventor: Salman Akram
  • Publication number: 20010053596
    Abstract: The present invention is a method of fabricating interconnects. A semiconductor substrate having a dielectric layer is provided. The dielectric layer has a via opening therein, which exposes the semiconductor substrate. Next, the surfaces of the via opening is covered with a conformal titanium layer formed by a sputtering process. The surface of the conformal titanium layer is covered with an Al—Si—Cu alloy layer formed by a sputtering process at a temperature of about 0° C. to 200° C. Then, the surface of the Al—Si—Cu alloy layer is covered with an Al—Cu alloy layer formed by a sputtering process at a temperature of about 380° C. to 450° C., which Al—Cu alloy layer fills the via opening. The Al—Cu alloy layer, the Al—Si—Cu alloy layer and the wetting layer on the dielectric layer are patterned by photolithography and etching process.
    Type: Application
    Filed: April 12, 1999
    Publication date: December 20, 2001
    Inventors: CHEIN-CHENG WANG, SHIH-CHANH CHANG
  • Patent number: 6331485
    Abstract: A method for producing semiconductor device for reducing a gas of halogenated product of a group IVB element with H2 by the ECR plasma CVD method to form a thin film of the group IVB element on a substrate is disclosed. This method includes forming an adhesion layer of the group IVB element in a contact hole, including walls, to be in contact with the exposed substrate, the adhesion layer being formed by reducing with H2 a gas of a halogenated product of the group IVB element in an ECR plasma CVD process, the group IVB element and H2 being used at a flow ratio of 0.4 and greater; forming a barrier layer in contact with the adhesion layer; and filling the contact hole with an electrically conductive material. The stable barrier metal is formed and an upper-layer metallization material is filled within the minute contact hole having a large aspect ratio.
    Type: Grant
    Filed: February 26, 1996
    Date of Patent: December 18, 2001
    Assignee: Sony Corporation
    Inventor: Takaaki Miyamoto
  • Patent number: 6327158
    Abstract: An improved integrated circuit device that includes both bond pads and trim pads is disclosed. Electrically conductive, non-wettable and non-corrosive protective caps are formed over each of the trim pads. With this arrangement, the protective caps act as barriers between the trim pads and solder used to form solder bumps when the IC package is mounted onto a substrate. In one embodiment, the protective caps are formed from a material that is easily sputtered, such as titanium. In a method aspect of the invention, the protective caps are applied during wafer level processing before either the solder bumping or trimming operations.
    Type: Grant
    Filed: January 15, 1999
    Date of Patent: December 4, 2001
    Assignee: National Semiconductor Corporation
    Inventors: Nikhil Vishwanath Kelkar, Pai-Hsiang Kao
  • Patent number: 6326297
    Abstract: Tungsten nitride adhesion to an underlying dielectric is enhanced by forming a thin layer of silicon over the dielectric before depositing the tungsten nitride. A twenty angstrom layer of amorphous silicon is formed over a silicon oxide dielectric. Tungsten nitride is formed over the silicon layer using a plasma enhanced chemical vapor deposition with tungsten hexafluoride and nitrogen. As the tungsten nitride is formed, the tungsten hexafluorine and nitrogen reacts with the amorphous silicon to produce an adhesion layer that includes silicon nitride and tungsten silicide.
    Type: Grant
    Filed: September 30, 1999
    Date of Patent: December 4, 2001
    Assignee: Novellus Systems, Inc.
    Inventor: Anil Justin Vijayendran
  • Patent number: 6316295
    Abstract: A method of fabricating a thin film transistor includes the steps of forming an active layer on an insulating substrate; forming an insulating layer and a first metal layer on the active layer; forming a photoresist pattern for forming a gate electrode on the metal layer; etching the metal layer and the insulating layer by using the photoresist pattern as a mask, and respectively forming a gate electrode and a gate insulating layer to expose a part of the active layer; forming an amorphous silicon layer on the resultant whole surface substrate; forming a second metal layer on the amorphous silicon layer; patterning the second metal layer and the amorphous silicon layer by a photolithographic process to form an offset layer and a source/drain electrode; and carrying out a lift-off process to remove the photoresist pattern, and exposing the surface on the gate electrode.
    Type: Grant
    Filed: September 27, 1999
    Date of Patent: November 13, 2001
    Assignee: LG Electronics
    Inventors: Jin Jang, Kyung-Ha Lee
  • Patent number: 6316834
    Abstract: A method for producing a glue layer for an integrated circuit which uses tungsten plugs in accordance with the present invention includes: (A) providing a substrate which has a surface, a center, an edge, and a direction normal to the surface; and (B) sputter depositing a glue layer over the surface of the substrate such that an edge thickness of the glue layer measured in the direction normal to the surface at the edge of the substrate is at least 105% of a center thickness of the glue layer measured in the direction normal to the surface at the center of the substrate.
    Type: Grant
    Filed: September 8, 1999
    Date of Patent: November 13, 2001
    Assignee: VLSI Technology, Inc.
    Inventors: Calvin T. Gabriel, Dipankar Pramanik, Xi-Wei Lin
  • Patent number: 6313033
    Abstract: The invention provides a method for forming a microelectronic device comprising: forming a first electrode; depositing an adhesion layer over the first electrode utilizing high density plasma physical vapor deposition, wherein the adhesion layer comprises a material selected from Ta, TaNx, W, WNx, Ta/TaNx, W/WNx, and combinations thereof, depositing a dielectric layer over the adhesion layer; and forming a second electrode over the dielectric layer. The invention also provides a microelectronic device comprising: a first electrode; a second electrode; a dielectric layer disposed between the first and second electrodes; and an adhesion layer disposed between the first electrode and the dielectric layer, wherein the adhesion layer comprises a material selected from Ta, TaNx, W, WNx, Ta/TaNx, W/WNx, and combinations thereof.
    Type: Grant
    Filed: July 27, 1999
    Date of Patent: November 6, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Tony Chiang, Bingxi Sun, Suraj Rengarajan, Peijun Ding, Barry Chin
  • Patent number: 6309965
    Abstract: To markedly reduce wafer warping of semiconductor wafers without weakening the strength of adhesion to substrate materials, a novel back side metallizing system is presented. On a silicon semiconductor body an aluminum layer and a diffusion barrier layer that includes titanium are provided. A titanium nitride layer is incorporated into the titanium layer because it has been demonstrated that the titanium nitride layer can compensate for a large proportion of the wafer warping that occurs. Preferably, the usual tempering for improving the ohmic contact between the aluminum layer and the silicon semiconductor body is not performed after the complete metallizing of the semiconductor body, but rather after a first, thin aluminum layer has been deposited onto the silicon semiconductor body.
    Type: Grant
    Filed: September 20, 2000
    Date of Patent: October 30, 2001
    Assignee: Siemens Aktiengesellschaft
    Inventors: Martin Matschitsch, Thomas Laska, Herbert Mascher, Andreas Mätzler, Werner Stefaner, Gernot Moik
  • Patent number: 6309966
    Abstract: An apparatus and method of tungsten via fill using a low pressure, 2-step nucleation tungsten deposition process. The tungsten via fill includes a silane soak, a nucleation film growth, and a bulk tungsten film deposition. The nucleation film growth is a low pressure, 2-step process including a controlled first nucleation film growth and a second nucleation film growth. A wafer fabricating system that includes a film depositing system and a control system is used. The film depositing system includes a reaction chamber with at least one silane-containing gas source, a tungsten-containing gas source, and a substrate heating source. The control system instructs the silane-containing gas source and the tungsten-containing gas source to flow with a significantly higher ratio of silane-containing gas (SiH4) to form a first silane-rich nucleation layer. The control system then instructs the gas sources to flow with a higher ratio of tungsten-containing gas, such as WF6, to form a second tungsten nucleation layer.
    Type: Grant
    Filed: August 15, 2000
    Date of Patent: October 30, 2001
    Assignees: Motorola, Inc., White Oak Semiconductor Partnership
    Inventors: Shrinivas Govindarajan, Anthony Ciancio
  • Patent number: 6306761
    Abstract: A hard Al oxide film having a high melting point, which grows on the surface of an Al—Cu film during a wafer is carried in atmospheric air, obstructs the burying of a viahole with the Al—Cu film by high pressure reflow, with a result that a void remains in the hole. The present invention is intended to remove such an Al oxide film grown on the Al—Cu film formed by sputtering, by Ar+ sputtering/etching directly before high pressure reflow. Moreover, when a Ti oxide film is present on the surface of a Ti based underlying film formed by CVD, an Al oxide film is possibly grown at the boundary between the Ti based underlying film and an Al—Cu film laminated thereon. In this case, the Ti oxide film is similarly removed directly before formation of the Al—Cu film, thereby preventing the growth of the Al oxide film.
    Type: Grant
    Filed: April 25, 1996
    Date of Patent: October 23, 2001
    Assignee: Sony Corporation
    Inventor: Mitsuru Taguchi
  • Patent number: 6303426
    Abstract: A method of forming a capacitor having a tungsten bottom electrode in a semiconductor wafer that eliminates the effects of oxidation of the surface of the bottom electrode on capacitor performance.
    Type: Grant
    Filed: January 6, 2000
    Date of Patent: October 16, 2001
    Assignee: Agere Systems Guardian Corp.
    Inventor: Glenn B. Alers
  • Patent number: 6297147
    Abstract: The present invention provides a method and apparatus for filling contacts, vias, trenches, and other patterns, in a substrate surface, particularly patterns having high aspect ratios. Generally, the present invention provides a method for removing oxygen from the surface of an oxidized metal layer prior to deposition of a subsequent metal. The oxidized metal is treated with a plasma consisting of nitrogen, hydrogen, or a mixture thereof. In one aspect of the invention, the metal layer is Ti, TiN, Ta, TaN, Ni, NiV, or V, and a subsequent wetting layer is deposited using either CVD techniques or electroplating, such as CVD aluminum (Al) or electroplating of copper (Cu). The metal layer can be exposed to oxygen or the atmosphere and then treated with a plasma of nitrogen and/or hydrogen in two or more cycles to remove or reduce oxidation of the surface of the metal layer and nucleate the growth of a subsequent metal layer thereon.
    Type: Grant
    Filed: June 5, 1998
    Date of Patent: October 2, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Lisa Yang, Anish Tolia, Roderick Craig Mosely
  • Patent number: 6297146
    Abstract: A semiconductor, and manufacturing method therefor, is provided with a barrier/adhesion layer, having cobalt, nickel, or palladium for semiconductors having conductive materials of copper, silver or gold. The barrier/adhesion layer can be alloyed with between about 0.2% and 4% tantalum, molybdenum, or tungsten to increase barrier effectiveness and lower resistivity.
    Type: Grant
    Filed: September 5, 2000
    Date of Patent: October 2, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Sergey D. Lopatin
  • Patent number: 6297073
    Abstract: A semiconductor device, is provided will semiconductor chips having a plurality of electrodes for external connection, elastomer resin portions formed of an elastomer resin, which are bonded to the semiconductor chip excepting at least some of the plurality of electrodes, a tape layer of resin including tape wiring patterns on the surface thereof, a plurality of solder bumps for bonding the printed wiring pattern to the tape wiring patterns, leads for connecting the plurality of electrodes of the semiconductor chips to the tape wiring patterns, and seal resin for covering the leads and the plurality of electrodes which are connected by the leads. The elastomer resin has a modulus of transverse elasticity not less than 50 MPa and not more than 750 MPa.
    Type: Grant
    Filed: April 11, 2000
    Date of Patent: October 2, 2001
    Assignee: Hitachi, Ltd.
    Inventors: Makoto Kitano, Ryuji Kohno, Naotaka Tanaka, Akihiro Yaguchi, Tetsuo Kumazawa, Ichiro Anjoh, Hideki Tanaka, Asao Nishimura, Shuji Eguchi, Akira Nagai, Mamoru Mita
  • Publication number: 20010023987
    Abstract: Poorly adherent layers such as silicon nitride and silicon dioxide exhibit improved adhesion to copper member by providing an intervening germanium-containing layer. The germanium-containing layer is copper germanide, germanium oxide, germanium nitride or combinations thereof. The germanium-containing layer enhances the adhesion such that the poorly adherent layer is less susceptible to delamination from the copper member.
    Type: Application
    Filed: May 7, 2001
    Publication date: September 27, 2001
    Inventors: Vincent J. Mcgahay, Thomas H. Ivers, Joyce Liu, Henry A. Nye
  • Patent number: 6287965
    Abstract: A method of forming a metal layer having excellent thermal and oxidation resistant characteristics using atomic layer deposition is provided. The metal layer includes a reactive metal (A), an element (B) for the amorphous combination between the reactive metal (A) and nitrogen (N), and nitrogen (N). The reactive metal (A) may be titanium (Ti), tantalum (Ta), tungsten (W), zirconium (Zr), hafnium (Hf), molybdenum (Mo) or niobium (Nb). The amorphous combination element (B) may be aluminum (Al), silicon (Si) or boron (B). The metal layer is formed by alternately injecting pulsed source gases for the elements (A, B and N) into a chamber according to atomic layer deposition to thereby alternately stack atomic layers. Accordingly, the composition ratio of a nitrogen compound (A—B—N) of the metal layer can be desirably adjusted just by appropriately determining the number of injection pulses of each source gas.
    Type: Grant
    Filed: February 23, 2000
    Date of Patent: September 11, 2001
    Assignee: Samsung Electronics Co, Ltd.
    Inventors: Sang-bom Kang, Hyun-seok Lim, Yung-sook Chae, In-sang Jeon, Gil-heyun Choi
  • Publication number: 20010019885
    Abstract: The present invention provides a method for forming a discontinuous conductive layer in the fabrication of integrated circuits. The method includes providing a substrate assembly having a surface including at least one metal-containing adhesion region separated by at least one surface region of the substrate assembly. A conductive metal layer is formed on the surface of the substrate assembly. The substrate assembly including the conductive metal layer thereon in then annealed. Any nonadhered conductive metal is removed from the at least one exposed surface region to form a discontinuous conductive metal layer on at least one metal-containing adhesion region, for example, by simply rising the substrate assembly in water. The conductive metal layer can be platinum or ruthenium.
    Type: Application
    Filed: March 19, 2001
    Publication date: September 6, 2001
    Applicant: Micron Technology, Inc.
    Inventor: Eugene P. Marsh
  • Patent number: 6284652
    Abstract: A method is provided for promoting adhesion of CVD copper to diffusion barrier material in integrated circuit manufacturing. The method includes depositing a first seed layer of copper on the barrier material by chemical vapor deposition (CVD) using (hfac)Cu(1,5-Dimethylcyclooctadiene) precursor. Following the deposition of the seed layer, which strongly adheres and conforms to the copper receiving surfaces on the diffusion barrier, the wafer substrate is positioned in an electro-chemical deposition apparatus, such as an electroplating or electroless plating bath. A second layer of copper is then deposited on the seed layer by means of electrochemical deposition, e.g., electroplating or electroless plating. The second layer of copper deposited by electro-chemical deposition is a “fill” or “bulk” layer, substantially thicker than the seed layer.
    Type: Grant
    Filed: November 6, 1998
    Date of Patent: September 4, 2001
    Assignees: Advanced Technology Materials, Inc., Sharp Microelectronics Technology Inc.
    Inventors: Lawrence J. Charneski, Tuc Nguyen, Gautam Bhandari
  • Patent number: 6284653
    Abstract: A process for forming a composite structure, comprised of an overlying titanium nitride barrier layer, and an underlying titanium disilicide layer, located on a portion of a conductive region in a semiconductor substrate exposed at the bottom of a high aspect ratio contact hole, has been developed. A first iteration of this invention entails the deposition of a titanium ion layer, via an anisotropic, ion metal plasma (IMP), procedure, on the exposed portion of the conductive region, as well as on the top surface of the insulator layer in which the high aspect ratio contact hole was formed in. A first anneal cycle results in the formation of a titanium disilicide layer on the conductive region, leaving an unreacted titanium ion layer on the surface of the insulator layer. After removal of unreacted titanium, a second anneal cycle is performed in a nitrogen containing ambient, converting a top portion of the titanium disilicide layer to a titanium nitride barrier layer.
    Type: Grant
    Filed: October 30, 2000
    Date of Patent: September 4, 2001
    Assignee: Vanguard International Semiconductor Corp.
    Inventor: Horng-Huei Tseng
  • Publication number: 20010016415
    Abstract: This invention relates to a new improved method and structure in the fabricating of aluminum metal pads. The formation special aluminum bond pad metal structures are described which improve adhesion between the tantalum nitride pad barrier layer and the underlying copper pad metallurgy by a special interlocking bond pad structure. It is the object of the present invention to provide a process wherein a special grid of interlocking via structures is placed in between the underlying copper pad metal and the top tantalum nitride pad barrier layer providing improved adhesion to the aluminum pad metal stack structure. This unique contact bond pad structure provides for thermal stress relief, improved wire bond adhesion to the aluminum pad, and prevents peeling during wire bond adhesion tests.
    Type: Application
    Filed: January 8, 2001
    Publication date: August 23, 2001
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY
    Inventor: Sheng-Hsiung Chen
  • Patent number: 6277737
    Abstract: In aspect, the invention includes a semiconductor processing method comprising: a) forming an electrically insulative layer over a substrate; b) forming an opening within the electrically insulative layer, the opening having a periphery defined at least in part by a bottom surface and a sidewall surface; c) forming a first layer comprising TiN within the opening, the first layer being over the bottom surface and along the sidewall surface; d) forming a second layer comprising elemental Ti over the electrically insulative layer but substantially not within the opening, the second layer having a thickness of less than 50 Å along the sidewall surface and over the bottom surface; and e) forming an aluminum-comprising layer within the opening and over the second layer.
    Type: Grant
    Filed: September 2, 1998
    Date of Patent: August 21, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Ravi Iyer
  • Patent number: 6277744
    Abstract: Various methods of fabricating a tungsten conductor structure are provided. In one aspect, a method of fabricating a tungsten conductor structure in an opening in an insulating film is provided that includes forming a titanium nitride film in the opening and heating the a titanium nitride film. The titanium nitride film is exposed to a flow of hydrogen gas and a flow of an inert carrier gas. The titanium nitride film is exposed to a flow of silane at a first flow rate for a first time interval and to a flow of tungsten hexafluoride for a second time interval that begins after the beginning but prior to the end of the first time interval. The flow of silane is reduced at the end of the first time interval to a second flow rate and maintained at the second flow rate for a third time interval. Fluorine diffusion into the titanium nitride film and the potential for void formation due to non-conformal tungsten deposition are reduced.
    Type: Grant
    Filed: January 21, 2000
    Date of Patent: August 21, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ting H. Yuan, Bob Anderson, Jin Zhao, Clive Jones
  • Patent number: 6277702
    Abstract: A storage element of a stacked capacitor having a high dielectric film for a semiconductor device and a method of fabricating the same, the storage element having a storage node comprising a bottom polysilicon layer, a barrier metal layer, and a transition metal layer with sidewall spacers formed on the barrier metal layer. The barrier metal layer and sidewall spacers prevent the polysilicon layer from being oxidized. The polysilicon layer is formed to a thickness that determines the height of the storage node. The transition metal layer directly interfacing the high dielectric film is thinly formed to avoid slope etching thereof and thereby prevent electrical bridges or shorts between adjacent storage nodes.
    Type: Grant
    Filed: February 14, 2000
    Date of Patent: August 21, 2001
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yoon-Soo Chun, Yoo-Sang Hwang, Tae-Young Chung
  • Patent number: 6274485
    Abstract: A new method of metal plug metallization utilizing a sacrificial high polishing rate layer to prevent dishing and metal residues after CMP is described. An oxide layer is provided overlying semiconductor device structures in and on a semiconductor substrate. A sacrificial high polishing rate (HPR) layer is deposited overlying the oxide layer. An opening is etched through the HPR layer and the oxide layer to one of the semiconductor device structures. A barrier layer and a metal layer are deposited over the surface of the HPR layer and within the opening. The metal layer, barrier layer, and HPR layer overlying the oxide layer are polished away by CMP. The polishing rate of the HPR layer is higher than that of the metal layer with the result that after the HPR layer is completely removed, the metal layer remaining within the opening has a convex shape. The oxide layer is over-polished until endpoint detection is received.
    Type: Grant
    Filed: October 25, 1999
    Date of Patent: August 14, 2001
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Feng Chen, Rick Teo, Lap Chan