Forming Silicide Patents (Class 438/664)
  • Patent number: 7528067
    Abstract: A metal oxide semiconductor field effect transistor (MOSFET) structure that includes multiple and distinct self-aligned silicide contacts and methods of fabricating the same are provided. The MOSFET structure includes at least one metal oxide semiconductor field effect transistor having a gate conductor including a gate edge located on a surface of a Si-containing substrate; a first inner silicide having an edge that is substantially aligned to the gate edge of the at least one metal oxide semiconductor field effect transistor; and a second outer silicide located adjacent to the first inner silicide. In accordance with the present invention, the second outer silicide has second thickness is greater than the first thickness of the first inner silicide. Moreover, the second outer silicide has a resistivity that is lower than the resistivity of the first inner silicide.
    Type: Grant
    Filed: October 6, 2006
    Date of Patent: May 5, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kevin K. Chan, Christian Lavoie, Kern Rim
  • Patent number: 7524751
    Abstract: Methods for forming a contact hole in a semiconductor device are provided. An exposed portion of an isolation layer, which may be generated during a process of forming a borderless contact hole, can be covered with a material similar to that of the substrate.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: April 28, 2009
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Sang Wook Ryu
  • Patent number: 7517795
    Abstract: A method for forming a stabilized metal silicide film, e.g., contact (source/drain or gate), that does not substantially agglomerate during subsequent thermal treatments, is provided In the present invention, ions that are capable of attaching to defects within the Si-containing layer are implanted into the Si-containing layer prior to formation of metal silicide. The implanted ions stabilize the film, because the implants were found to substantially prevent agglomeration or at least delay agglomeration to much higher temperatures than in cases in which no implants were used.
    Type: Grant
    Filed: October 20, 2006
    Date of Patent: April 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Roy A. Carruthers, Cedrik Y. Cole, Christophe Detavernier, Christian Lavoie, Kenneth P. Rodbell
  • Publication number: 20090075477
    Abstract: According to an aspect of an embodiment, a method of manufacturing a semiconductor device has forming a silicon-containing layer over a semiconductor substrate, forming a metal layer over the semiconductor substrate and the silicon-containing layer, forming a silicide-containing layer over the semiconductor substrate and the silicon-containing layer by heat treatment of the semiconductor substrate and the silicon-containing layer, and applying flash annealing to the silicide-containing layer.
    Type: Application
    Filed: September 10, 2008
    Publication date: March 19, 2009
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventors: Kazuo KAWAMURA, Shinichi AKIYAMA, Kazuya OKUBO, Akira KATAKAMI, Naoki IDANI, Takashi WATANABE
  • Patent number: 7504329
    Abstract: Low work function metals for use as gate electrode in nMOS devices are provided. The low work function metals include alloys of lanthanide(s), metal and semiconductor. In particular, an alloy of nickel-ytterbium (NiYb) is used to fully silicide (FUSI) a silicon gate. The resulting nickel-ytterbium-silicon gate electrode has a work function of about 4.22 eV.
    Type: Grant
    Filed: May 11, 2006
    Date of Patent: March 17, 2009
    Assignees: Interuniversitair Microelektronica Centrum (IMEC), National University of Singapore (NUS), Texas Instruments Incorporated
    Inventors: HongYu Yu, Chen JingDe, Li Mingfu, Dim-Lee Kwong, Serge Biesemans, Jorge Adrian Kittl
  • Patent number: 7501333
    Abstract: A fully silicided gate with a selectable work function includes; a gate dielectric over the substrate; and a first metal silicide layer over the gate dielectric, and a second metal silicide layer wherein the first metal silicide has a different phase then the second metal silicide layer. The metal silicide layers comprises at least one alloy element. The concentration of the alloy element on the interface between the gate dielectric and the metal silicide layers influence the work function of the gate.
    Type: Grant
    Filed: July 19, 2006
    Date of Patent: March 10, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wei-Jung Lin, Cheng-Tung Lin, Chih-Wei Chang, Shau-Lin Shue
  • Publication number: 20090061623
    Abstract: A method of forming an electrical connection structure is described. A dielectric layer is formed covering a first conductor on a substrate, and then an opening is formed in the dielectric layer exposing the first conductor. A first cleaning step is conducted using fluorine-containing plasma to clean the surfaces of the dielectric layer and the exposed first conductor, and then at least one low-temperature annealing step is conducted. A second cleaning step is conducted using argon plasma to clean the above surfaces. A second conductor is then formed in the opening.
    Type: Application
    Filed: September 5, 2007
    Publication date: March 5, 2009
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Yu-Lan Chang, Chien-Chung Huang, Yen-Chu Chen, Yi-Wei Chen
  • Publication number: 20090053867
    Abstract: Devices and methods for plasma treated metal silicide layer formation are disclosed. In one embodiment, a method for manufacturing a semiconductor device comprises forming a metal layer on a silicon substrate, exposing the metal layer to a plasma, and thermally treating the silicon substrate and the metal layer to form a metal silicide layer.
    Type: Application
    Filed: August 20, 2008
    Publication date: February 26, 2009
    Inventors: Takayuki ENDA, Tatsuya INOUE, Naoki TAKEGUCHI
  • Publication number: 20090047776
    Abstract: A method of forming a thin film transistor relative to a substrate includes, a) providing a thin film transistor layer of polycrystalline material on a substrate, the polycrystalline material comprising grain boundaries; b) providing a fluorine containing layer adjacent the polycrystalline thin film layer; c) annealing the fluorine containing layer at a temperature and for a time period which in combination are effective to drive fluorine from the fluorine containing layer into the polycrystalline thin film layer and incorporate fluorine within the grain boundaries to passivate said grain boundaries; and d) providing a transistor gate operatively adjacent the thin film transistor layer. The thin film transistor can be fabricated to be bottom gated or top gated. A buffering layer can be provided intermediate the thin film transistor layer and the fluorine containing layer, with the buffering layer being transmissive of fluorine from the fluorine containing layer during the annealing.
    Type: Application
    Filed: October 24, 2008
    Publication date: February 19, 2009
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Gurtej S. Sandhu, Shubneesh Batra, Pierre C. Fazan
  • Patent number: 7491635
    Abstract: A method for manufacturing a MOSFET device with a fully silicided (FUSI) gate is described. This method may be used to prevent formation of shorts between the FUSI gate and a contact to a source and/or a drain region. In particular, the method discloses the formation of an expansion volume above a gate dielectric. The volume is designed to substantially contain the fully silicided gate.
    Type: Grant
    Filed: July 11, 2006
    Date of Patent: February 17, 2009
    Assignees: Interuniversitair Microelektronica Centrum, Texas Instruments Incorporated, Koninklijke Philips Electronics
    Inventors: Jorge Adrian Kittl, Anne Lauwers, Anabela Veloso, Anil Kottantharyil, Marcus Johannes Henricus Van Dal
  • Publication number: 20090035938
    Abstract: The invention included to methods of forming CoSi2, methods of forming field effect transistors, and methods of forming conductive contacts. In one implementation, a method of forming CoSi2 includes forming a substantially amorphous layer comprising MSix over a silicon-containing substrate, where “M” comprises at least some metal other than cobalt. A layer comprising cobalt is deposited over the substantially amorphous MSix-comprising layer. The substrate is annealed effective to diffuse cobalt of the cobalt-comprising layer through the substantially amorphous MSix-comprising layer and combine with silicon of the silicon-containing substrate to form CoSi2 beneath the substantially amorphous MSix-comprising layer. Other aspects and implementations are contemplated.
    Type: Application
    Filed: October 2, 2008
    Publication date: February 5, 2009
    Inventor: Yongjun Jeff Hu
  • Patent number: 7485558
    Abstract: In a method of manufacturing a semiconductor device, a preliminary metal silicide layer is selectively formed on a substrate having a transistor, the transistor having source/drain regions. A capping layer having a thermal expansion coefficient greater than that of the preliminary metal silicide layer is formed on the substrate having the preliminary metal silicide layer. The substrate is thermally treated to form a metal silicide layer, and to apply a tensile stress caused by a thermal expansion coefficient difference between the metal silicide layer and the capping layer to the source/drain regions of the transistor.
    Type: Grant
    Filed: January 24, 2005
    Date of Patent: February 3, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Gun Kang, Kong-Soo Cheong, Jeong-Ho Shin, Ki-Young Kim
  • Publication number: 20090020829
    Abstract: Methods of forming contacts (and optionally, local interconnects) using an ink comprising a silicide-forming metal, electrical devices such as diodes and/or transistors including such contacts and (optional) local interconnects, and methods for forming such devices are disclosed. The method of forming contacts includes depositing an ink of a silicide-forming metal onto an exposed silicon surface, drying the ink to form a silicide-forming metal precursor, and heating the silicide-forming metal precursor and the silicon surface to form a metal silicide contact. Optionally, the metal precursor ink may be selectively deposited onto a dielectric layer adjacent to the exposed silicon surface to form a metal-containing interconnect. Furthermore, one or more bulk conductive metal(s) may be deposited on remaining metal precursor ink and/or the dielectric layer. Electrical devices, such as diodes and transistors may be made using such printed contact and/or local interconnects.
    Type: Application
    Filed: July 17, 2008
    Publication date: January 22, 2009
    Inventors: Aditi CHANDRA, Arvind KAMATH, James Montague CLEEVES, Joerg ROCKENBERGER, Mao Takashima, Erik SCHER
  • Publication number: 20090017619
    Abstract: A metal suicide layer is fabricated in a semiconductor device. A first metal layer is deposited on a silicon substrate formed with an S interlayer dielectric having a contact hole through PVD. A second metal layer is deposited on the first metal layer through any one of CVD and ALD. Annealing is performed on the silicon substrate which is formed with the first and second metal layers to form the metal silicide. The portions of the second metal layer and the first metal layer which have not reacted during annealing are removed.
    Type: Application
    Filed: July 9, 2008
    Publication date: January 15, 2009
    Inventors: Young Jin LEE, Baek Mann KIM, Soo Hyun KIM, Dong Ha JUNG, Jeong Tae KIM, Hyeong Tag JEON, Keun Woo LEE, Keun Jun KIM, Tae Yong PARK
  • Publication number: 20090004853
    Abstract: The present application is directed to a method for forming a metal silicide layer. The method comprises providing a substrate comprising silicon and depositing a metal layer on the substrate. The metal layer is annealed within a first temperature range and for a first dwell time of about 10 milliseconds or less to react at least a portion of the metal with the silicon to form a silicide. An unreacted portion of the metal is removed from the substrate. The silicide is annealed within a second temperature range for a second dwell time of about 10 milliseconds or less.
    Type: Application
    Filed: June 28, 2007
    Publication date: January 1, 2009
    Inventors: Haowen Bu, Shashank Ekbote, Juanita Deloach
  • Publication number: 20090004852
    Abstract: A network element (10), such as a Packet Data Serving Node, detects (31) a change in operational status of a mobile station during a communication session and, in response to detecting such a change, automatically increases (32) memory capacity as is available to support additional communication sessions while simultaneously persisting at least some session information for potential subsequent use during the communication session. For example, this response can occur upon detecting that a mobile station has changed from an active to a dormant status. Then, upon returning to an active status, the network element can use the persisted information to facilitate rapid reconstruction of infrastructure support for the mobile station's call participation.
    Type: Application
    Filed: December 9, 2005
    Publication date: January 1, 2009
    Applicant: President and Fellows of Havard College
    Inventors: Charles M. Lieber, Yue Wu, Jie Xiang, Chen Yang, Wei Lu
  • Publication number: 20090001588
    Abstract: Methods and apparatus relating to a single silicon wafer having metal and alloy silicides are described. In one embodiment, two different silicides may be provided on the same wafer. Other embodiments are also disclosed.
    Type: Application
    Filed: June 28, 2007
    Publication date: January 1, 2009
    Inventor: Pushkar Ranade
  • Publication number: 20080305630
    Abstract: A method of manufacturing a semiconductor device includes forming a first conductive film on a semiconductor substrate via a first insulating film; forming a second conductive film on the first conductive film via a second insulating film; patterning the first and the second conductive films and the second insulating film to form a plurality of gate electrodes; filling a third insulating film between the plurality of gate electrodes; exposing an upper portion of the second conductive film by removing the third insulating film; covering surfaces of the exposed upper portion of the second conductive film with fluoride (F) or carbon (C) or oxygen (O); and forming a metal film on an upper surface of the second conductive film; and forming silicide layers on the upper portion of the second conductive films by thermally treating the metal film.
    Type: Application
    Filed: October 12, 2007
    Publication date: December 11, 2008
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Jota FUKUHARA
  • Publication number: 20080299767
    Abstract: A method for forming a semiconductor device and selectively forming a salicide layer is described. In one embodiment, the method includes depositing a metal layer over a semiconductor substrate having a first area and a second area, wherein the first area and the second area include silicon, removing the metal layer over the second gate electrode, and reacting the metal layer with the first area to form a salicide layer over the first area. In one embodiment, the first area and the second area include a first gate electrode and a second gate electrode, respectively.
    Type: Application
    Filed: November 21, 2005
    Publication date: December 4, 2008
    Applicant: Freecale Semiconductor, Inc
    Inventors: Ryan Ross, Greg Braeckelmann
  • Patent number: 7456096
    Abstract: It is made possible to reduce the interface resistance at the interface between the nickel silicide film and the silicon. A semiconductor manufacturing method includes: forming an impurity region on a silicon substrate, with impurities being introduced into the impurity region; depositing a Ni layer so as to cover the impurity region; changing the surface of the impurity region into a NiSi2 layer through annealing; forming a Ni layer on the NiSi2 layer; and silicidating the NiSi2 layer through annealing.
    Type: Grant
    Filed: September 11, 2006
    Date of Patent: November 25, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takashi Yamauchi, Atsuhiro Kinoshita, Yoshinori Tsuchiya, Junji Koga, Koichi Kato, Nobutoshi Aoki, Kazuya Ohuchi
  • Patent number: 7446043
    Abstract: A contact structure having silicide layers, a semiconductor device employing the same, and methods of fabricating the contact structure and semiconductor device are provided. The contact structure includes a first conductive region and a second conductive region on a substrate. An insulating layer covers the first and second conductive regions. A first contact hole and a second contact hole are formed through the insulating layer and expose the first and second conductive regions, respectively. A first silicide layer having a first thickness is on the first conductive region exposed by the first contact hole. A second silicide layer having a second thickness different than the first thickness is on the second conductive region exposed by the second contact hole.
    Type: Grant
    Filed: May 2, 2006
    Date of Patent: November 4, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Je-Min Park, Byung-Yoon Kim
  • Patent number: 7446008
    Abstract: Disclosed is a method for fabricating a semiconductor device. The method can include forming a first barrier pattern to cover a first region of a semiconductor substrate while exposing second and third regions of the semiconductor substrate, forming a first oxide layer pattern on the second and third regions, forming a second barrier pattern to cover the third region while exposing the first and second regions, forming a second oxide layer pattern on the first and second regions, forming a third oxide layer pattern on the second region by removing the second and first oxide layer patterns formed on the first and third regions, forming a silicide metal layer on the first, second, and third regions, and selectively forming silicide on the first and third regions by performing an annealing process with respect to the silicide metal layer.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: November 4, 2008
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Dong Yeal Keum
  • Publication number: 20080268636
    Abstract: Embodiments as described herein provide a method for depositing barrier layers and tungsten materials on substrates. In one embodiment, a method for depositing materials is provided which includes forming a barrier layer on a substrate, wherein the barrier layer contains a cobalt silicide layer and a metallic cobalt layer, exposing the barrier layer to a soak gas containing a reducing gas during a soak process, and forming a tungsten material over the barrier layer. In one example, the barrier layer may be formed by depositing a cobalt-containing material on a dielectric surface of the substrate and annealing the substrate to form the cobalt silicide layer from a lower portion of the cobalt-containing material and the metallic cobalt layer from an upper portion of the cobalt-containing material.
    Type: Application
    Filed: July 10, 2008
    Publication date: October 30, 2008
    Inventors: Ki Hwan Yoon, Yonghwa Chris Cha, Sang Ho Yu, Hafiz Farooq Ahmad, Ho Sun Wee
  • Publication number: 20080261394
    Abstract: A method for fabricating a semiconductor device having a silicided gate that is directed to forming the silicided structures while maintaining gate-dielectric integrity. Initially, a gate structure has, preferably, a poly gate electrode separated from a substrate by a gate dielectric and a metal layer is then deposited over at least the poly gate electrode. The fabrication environment is placed at an elevated temperature. The gate structure may be one of two gate structures included in a dual gate device such as a CMOS device, in which case the respective gates may be formed at different heights (thicknesses) to insure that the silicide forms to the proper phase. The source and drain regions are preferably silicided as well, but in a separate process performed while the gate electrodes are protected by, for example a cap of photoresist or a hardmask structure.
    Type: Application
    Filed: April 18, 2007
    Publication date: October 23, 2008
    Inventors: Mei-Yun Wang, Cheng-Chen Calvin Hsueh
  • Publication number: 20080251856
    Abstract: Methods of forming silicided contacts self-aligned to a gate from polysilicon germanium and a structure so formed are disclosed. One embodiment of the method includes: forming a polysilicon germanium (poly SiGe) pedestal over a gate dielectric over a substrate; forming a poly SiGe layer over the poly SiGe pedestal, the poly SiGe layer having a thickness greater than the poly SiGe pedestal; doping the poly SiGe layer; simultaneously forming a gate and a contact to each side of the gate from the poly SiGe layer, the gate positioned over the poly SiGe pedestal; annealing to drive the dopant from the gate and the contacts into the substrate to form a source/drain region below the contacts; filling a space between the gate and the contacts; and forming silicide in the gate and the contacts.
    Type: Application
    Filed: April 13, 2007
    Publication date: October 16, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Huilong Zhu, Wenjuan Zhu, Zhijiong Luo
  • Publication number: 20080237871
    Abstract: The invention relates to a method of manufacturing a semiconductor device (10) with a substrate (11) and a semi-conductor body (12) which is provided with at least one semiconductor element (E) and comprising a monocrystalline silicon (1) region on top of which an epitaxial silicon region (2) is formed by providing a metal silicide region (3) on the monocrystalline silicon region (1) and a low-crystallinity silicon region (4) on top of the metal silicide region (3), after which the low-crystallinity silicon region (4) is transformed by heating into the epitaxial silicon region (2) having a high-crystallinity, during which process the metal silicide region (3) is moved from the bottom of the low-crystallinity silicon region (4) to the top of the epitaxial silicon region (2).
    Type: Application
    Filed: October 27, 2006
    Publication date: October 2, 2008
    Applicant: NXP B.V.
    Inventors: Vijayaraghavan Madakasira, Prabhat Agarwal, Johannes Josephus Theodorus Marinus Donkers, Mark Van Dal
  • Publication number: 20080230911
    Abstract: A semiconducting structure includes a thinned silicon substrate (110), a silicide layer (120) over the thinned silicon substrate, a metal layer (130) over the silicide layer, a solder interface layer (140) over the metal layer, and a cap layer (150) over the solder interface layer. The thinned silicon substrate is no thicker than approximately 500 micrometers. The silicide layer is formed using a rapid thermal processing procedure that locally heats the interface between the metal layer and the silicon substrate but causes no more than negligible thermal impact to other areas of the silicon wafer.
    Type: Application
    Filed: March 21, 2007
    Publication date: September 25, 2008
    Inventor: Eric J. Li
  • Publication number: 20080227279
    Abstract: According to the present invention, it is provided a method of manufacturing a semiconductor device comprising a PMOS transistor and a NMOS transistor, wherein the method facilitates obtaining a FUSI phase of a suitable composition for the NMOS transistor and the PMOS transistor respectively, with fewer mask layers and through a fewer number of manufacturing steps
    Type: Application
    Filed: March 14, 2008
    Publication date: September 18, 2008
    Applicant: NEC ELECTRONICS CORPORATION
    Inventor: Takashi HASE
  • Patent number: 7419905
    Abstract: A method of fabricating a gate electrode for a semiconductor comprising the steps of: providing a substrate; providing on the substrate a layer of a first material of thickness tp, the first material being selected from the group consisting of Si, Si1-x—Gex alloy, Ge and mixtures thereof and a layer of metal of thickness tm; and annealing the layers, such that substantially all of the first material and the metal are consumed during reaction with one another.
    Type: Grant
    Filed: January 29, 2004
    Date of Patent: September 2, 2008
    Assignee: Agency for Science, Technology and Research
    Inventors: Dominique Mangelinck, Dongzhi Chi, Syamal Kumar Lahiri
  • Publication number: 20080206988
    Abstract: A simple and cost effective method of forming a fully silicided (FUSI) gate of a MOS transistor is disclosed. In one example, the method comprises forming a nitride hardmask overlying a polysilicon gate, forming an S/D silicide in source/drain regions of the transistor, oxidizing a portion of the S/D silicide to form an oxide barrier overlying the S/D silicide in the source/drain regions, removing the nitride hardmask from the polysilicon gate, and forming a gate silicide such as by deposition of a gate silicide metal over the polysilicon gate and the oxide barrier in the source/drain regions to form a fully silicided (FUSI) gate in the transistor. Thus, the oxide barrier protects the source/drain regions from additional silicide formation by the gate silicide metal formed thereafter. The method may further comprise selectively removing the oxide barrier in the source/drain regions after forming the fully silicided (FUSI) gate.
    Type: Application
    Filed: February 27, 2007
    Publication date: August 28, 2008
    Inventors: Puneet Kohli, Craig Huffman, Manfred Ramin
  • Patent number: 7417290
    Abstract: Disclosed is a structure and method for tuning silicide stress and, particularly, for developing a tensile silicide region on a gate conductor of an n-FET in order to optimize n-FET performance. More particularly, a first metal layer-protective cap layer-second metal layer stack is formed on an n-FET structure. However, prior to the deposition of the second metal layer, the protective layer is exposed to air. This air break step alters the adhesion between the protective cap layer and the second metal layer and thereby, effects the stress imparted upon the first metal layer during silicide formation. The result is a more tensile silicide that is optimal for n-FET performance.
    Type: Grant
    Filed: January 9, 2006
    Date of Patent: August 26, 2008
    Assignee: International Business Machines Corporation
    Inventors: Robert J. Purtell, Keith Kwong Hon Wong
  • Publication number: 20080164533
    Abstract: Example embodiments relate to a method of manufacturing a germanosilicide and a semiconductor device having the germanosilicide. A method according to example embodiments may include providing a substrate having at least a portion formed of silicon germanium. A metal layer may be formed on the silicon germanium. A thermal process may be performed on the substrate at a relatively high pressure to form the germanosilicide.
    Type: Application
    Filed: December 13, 2007
    Publication date: July 10, 2008
    Inventors: Hyun-Deok Yang, Chang-wook Moon, Joong S. Jeon
  • Patent number: 7396764
    Abstract: The technology which can improve the performance of a MOS transistor in which all the regions of the gate electrode were silicided is offered. A gate insulating film and a gate electrode of an nMOS transistor are laminated and formed in this order on a semiconductor substrate. A source/drain region of the nMOS transistor is formed in the upper surface of the semiconductor substrate. The source/drain region is silicided after siliciding all the regions of the gate electrode. Thus, silicide does not cohere in the source/drain region by the heat treatment at the silicidation of the gate electrode by siliciding the source/drain region after the silicidation of the gate electrode. Therefore, the electric resistance of the source/drain region is reduced and junction leak can be reduced. As a result, the performance of the nMOS transistor improves.
    Type: Grant
    Filed: May 4, 2006
    Date of Patent: July 8, 2008
    Assignee: Renesas Technology Corp.
    Inventor: Shigeki Komori
  • Patent number: 7390729
    Abstract: A method of fabricating semiconductor device is provided. A transistor is formed on a substrate, and a metal silicide layer is formed on the surface of a gate conductor layer and a source/drain region. Next, a surface treatment process is performed to selectively form a protection layer on the surface of the metal silicide layer. Then, a spacer of the transistor is partially removed using the protection layer as a mask, so as to reduce the width of the spacer. Then, a stress layer is formed on the substrate.
    Type: Grant
    Filed: September 21, 2006
    Date of Patent: June 24, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Chao-Ching Hsieh, Chun-Chieh Chang, Tzung-Yu Hung
  • Publication number: 20080124923
    Abstract: Disclosed is a method of fabricating a semiconductor device, capable of improving the reliability of a semiconductor device. The method of fabricating the semiconductor device comprises forming a cobalt layer on an entire surface of a semiconductor substrate including a transistor structure, forming a cobalt nitride layer on the cobalt layer, performing a first rapid thermal processing to form CoSi, removing a non-reactive cobalt layer and a non-reactive cobalt nitride layer, and performing a second rapid thermal processing to form CoSi2.
    Type: Application
    Filed: October 30, 2007
    Publication date: May 29, 2008
    Inventor: DONG KI JEON
  • Publication number: 20080124921
    Abstract: A method of forming an ohmic contact layer including forming an insulation layer pattern on a substrate, the insulation pattern layer having an opening selectively exposing a silicon bearing layer, forming a metal layer on the exposed silicon bearing layer using an electrode-less plating process, and forming a metal silicide layer from the silicon bearing layer and the metal layer using a silicidation process. Also, a method of forming metal wiring in a semiconductor device using the foregoing method of forming an ohmic contact layer.
    Type: Application
    Filed: July 3, 2007
    Publication date: May 29, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Dae-Yong Kim, Jong-Ho Yun, Hyun-Su Kim, Eun-Ji Jung, Eun-Ok Lee
  • Publication number: 20080124922
    Abstract: A semiconductor device fabrication method by which the thermal stability of nickel silicide can be improved. Nickel (or a nickel alloy) is formed over a semiconductor substrate on which a gate region, a source region, and a drain region are formed. Dinickel silicide is formed by performing a first annealing step, followed by a selective etching step. By performing a plasma treatment step, plasma which contains hydrogen ions is generated and the hydrogen ions are implanted in the dinickel silicide or the gate region, the source region, and the drain region under the dinickel silicide. The dinickel silicide is phase-transformed into nickel silicide by performing a second annealing step.
    Type: Application
    Filed: September 12, 2007
    Publication date: May 29, 2008
    Applicant: FUJITSU LIMITED
    Inventors: Kazuo Kawamura, Shinichi Akiyama
  • Patent number: 7361597
    Abstract: A semiconductor device incorporating an alloy layer formed on a substrate; a gate electrode, a source electrode, and a drain electrode formed on the alloy layer at predetermined intervals therebetween; a gate insulating layer formed on the gate electrode in a gate electrode region; a first conductive layer formed on the substrate, including the source electrode and the drain electrode; and a second conductive layer and a metal silicide layer sequentially stacked on the first conductive layer and gate insulating layer.
    Type: Grant
    Filed: June 23, 2006
    Date of Patent: April 22, 2008
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Sang Hyun Ban
  • Publication number: 20080076246
    Abstract: Embodiments of the invention include apparatuses and methods relating to through contact-opening silicide and barrier layer formation. In one embodiment, a silicide region is formed in a silicon substrate by deposition of a siliciding material in a contact opening and a subsequent anneal.
    Type: Application
    Filed: September 25, 2006
    Publication date: March 27, 2008
    Inventors: Brennan L. Peterson, Vinay B. Chikarmane, Kevin J. Fischer
  • Patent number: 7348230
    Abstract: A method of manufacture of a semiconductor device includes forming a gate insulating film and a gate electrode made of polycrystalline silicon over a semiconductor substrate; implanting ions into the semiconductor substrate to form a semiconductor region as a source or drain; forming a cobalt film and a titanium nitride film over the semiconductor substrate to cover the gate electrode; carrying out annealing to cause a reaction between Co and Si and the semiconductor region to form a CoSi layer; carrying out wet cleaning to remove the titanium nitride film and unreacted cobalt film to leave the CoSi layer over the gate electrode and semiconductor region; carrying out annealing to cause a reaction between the CoSi layer and the gate electrode and semiconductor region to form a CoSi2 layer; carrying out HPM cleaning; and forming over the semiconductor substrate a silicon nitride film by low-pressure CVD to cover the gate electrode.
    Type: Grant
    Filed: December 10, 2004
    Date of Patent: March 25, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Shuji Matsuo, Katsuhiro Uchimura, Yasuko Yoshida, Kota Funayama, Yutaka Takeshima
  • Publication number: 20080067574
    Abstract: A semiconductor device includes a first conductor and a second conductor electrically connected to each other to have the same potential. At least one of the first and second conductors has a fully silicided (FUSI) structure. A step having an overhang is formed at least at part of a boundary between the first and second conductors.
    Type: Application
    Filed: June 26, 2007
    Publication date: March 20, 2008
    Inventor: Chiaki Kudo
  • Patent number: 7344978
    Abstract: A semiconductor device including at least one conductive structure is provided. The conductive structure includes a silicon-containing conductive layer, a refractory metal salicide layer and a protection layer. The refractory metal salicide layer is disposed over the silicon-containing conductive layer. The protection layer is disposed over the refractory metal salicide layer. Another semiconductor device including at least one conductive structure is also provided. The conductive structure includes a silicon-containing conductive layer, a refractory metal alloy salicide layer and a protection layer. The refractory metal alloy salicide layer is disposed over the silicon-containing conductive layer. The refractory metal alloy salicide layer is formed from a reaction of silicon of the silicon-containing conductive layer and a refractory metal alloy layer which includes a first refractory metal and a second refractory metal. The protection layer is disposed over the refractory metal alloy salicide layer.
    Type: Grant
    Filed: June 15, 2005
    Date of Patent: March 18, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Yu-Lan Chang, Chao-Ching Hsieh, Yi-Yiing Chiang, Yi-Wei Chen, Tzung-Yu Hung
  • Patent number: 7344983
    Abstract: A cluster tool is provided for the implementing of a clustered and integrated surface pre-cleaning of the surface of semiconductor devices. More particularly, there is provided a cluster tool and a method of utilization thereof in an integrated semiconductor device surface pre-cleaning, which is directed towards a manufacturing aspect in which a chamber for performing a dry processing chemical oxide removal (COR) on the semiconductor device surface is clustered with other tools, such as a metal deposition tool for silicide or contact formation, including the provision of a vacuum transfer module in the cluster tool.
    Type: Grant
    Filed: March 18, 2005
    Date of Patent: March 18, 2008
    Assignee: International Business Machines Corporation
    Inventors: Sadanand V. Deshpande, Ying Li, Kevin E. Mello, Renee T. Mo, Wesley C. Natzle, Kirk D. Peterson, Robert J. Purtell
  • Patent number: 7344984
    Abstract: A method and a semiconductor device are provided in which respective contact layers having a specific intrinsic stress may be directly formed on respective metal silicide regions without undue metal silicide degradation during an etch process for removing an unwanted portion of an initially deposited contact layer. Moreover, due to the inventive concept, the strain-inducing contact layers may be formed directly on the respective substantially L-shaped spacer elements, thereby enhancing even more the stress transfer mechanism.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: March 18, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jan Hoentschel, Andy Wei, Markus Lenski, Peter Javorka
  • Patent number: 7341933
    Abstract: The present invention provides a method for manufacturing a semiconductor device and a method for manufacturing an integrated circuit. The method for manufacturing the semiconductor device, among other steps, includes providing a capped polysilicon gate electrode (290) over a substrate (210), the capped polysilicon gate electrode (290) including a buffer layer (260) located between a polysilicon gate electrode layer (250) and a protective layer (270). The method further includes forming source/drain regions (710) in the substrate (210) proximate the capped polysilicon gate electrode (290), removing the protective layer (270) and the buffer layer (260), and siliciding the polysilicon gate electrode layer (250) to form a silicided gate electrode (1110).
    Type: Grant
    Filed: December 8, 2004
    Date of Patent: March 11, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Shaofeng Yu, Haowen Bu, Jiong-Ping Lu, Lindsey Hall
  • Patent number: 7329604
    Abstract: The method for fabricating a semiconductor device comprises the step of forming a Co film 72 on a gate electrode 30 having a gate length Lg of below 50 nm including 50 nm; the first thermal processing step of making thermal processing to react the Co film 72 and the gate electrode 30 with each other to form a CoSi film 76a on the upper part of the gate electrode 30; the step of selectively etching off the unreacted part of the Co film 72; and the second thermal processing step of making thermal processing to react the CoSi film 76a and the gate electrode 30 with each other to form a CoSi2 film 42a on the upper part of the gate electrode 30, wherein in the first thermal processing step, the CoSi film 76a is formed so that the ratio h/w of the height h of the CoSi film 76a to the width w of the CoSi film 76a is below 0.7 including 0.7.
    Type: Grant
    Filed: April 7, 2005
    Date of Patent: February 12, 2008
    Assignee: Fujtisu Limited
    Inventor: Kazuo Kawamura
  • Patent number: 7326644
    Abstract: A method of fabricating a semiconductor device, includes (a) forming an oxide film entirely over a silicon substrate on which a MOS transistor is fabricated, (b) carrying out first thermal-annealing to the silicon substrate, (c) removing the oxide film in an area where later mentioned silicide is to be formed, (d) forming a metal film entirely over the silicide substrate, (e) carrying out second thermal-annealing to the silicon substrate to form silicide in the area, and (f) removing the metal film having been not reacted with the silicon substrate.
    Type: Grant
    Filed: January 23, 2004
    Date of Patent: February 5, 2008
    Assignee: NEC Electronics Corporation
    Inventor: Shinya Ito
  • Publication number: 20080020568
    Abstract: A method of fabricating a semiconductor device having a silicide layer, including forming an interlayer insulating layer on an entire surface of a semiconductor substrate, forming a contact hole in the interlayer insulating layer, sequentially forming a silicide material and a barrier metal layer over the interlayer insulating layer including the contact hole, and performing an annealing process on the interlayer insulating layer to thereby form the silicide layer between a bottom surface of the interlayer insulating layer, which is exposed by the contact hole, and the silicide material.
    Type: Application
    Filed: July 19, 2007
    Publication date: January 24, 2008
    Inventor: In Hee Jang
  • Publication number: 20080020567
    Abstract: Provided are methods of manufacturing a semiconductor device. Some embodiments of such methods may include forming a preliminary gate pattern on a substrate. The preliminary gate pattern may include silicon. Methods may include forming an insulation layer pattern on the substrate after forming the preliminary gate pattern. The insulation layer pattern exposes an upper face of the preliminary gate pattern. Methods may include forming a metal layer on the upper face of the preliminary gate pattern via an electroless plating process. Methods may include forming a gate pattern including a metal silicide from a reaction between the preliminary gate pattern and the metal layer by performing a heat treatment process.
    Type: Application
    Filed: July 13, 2007
    Publication date: January 24, 2008
    Inventors: Eun-Ji Jung, Jong-Ho Yun, Dae-Yong Kim, Hyun-Su Kim, Byung-Hee Kim, Eun-Ok Lee
  • Patent number: 7320938
    Abstract: A method for reducing dendrite formation in a self-aligned, silicide process for a semiconductor device includes forming a silicide metal layer over a semiconductor substrate, the semiconductor device having one or more diffusion regions, one or more isolation areas and one or more gate structures formed thereon. The concentration of metal rich portions of the metal layer is reduced through the introduction of silicon thereto, and the semiconductor device is annealed.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: January 22, 2008
    Assignee: Internatioanl Business Machines Corporation
    Inventors: Robert J. Purtell, Yun-Yu Wang, Keith Kwong Hon Wong