Utilizing Particulate Abradant Patents (Class 438/693)
  • Publication number: 20130224954
    Abstract: A silicon carbide single crystal substrate is disclosed, wherein a density of first adhered particles attached onto one surface of the substrate and having a height of 100 nm or more is one particle/cm2 or less, and also a density of second adhered particles attached onto one surface of the substrate and having a height of less than 100 nm is 1,500 particles/cm2 or less. Also disclosed is a method of producing the silicon carbide single crystal substrate, including a first surface processing step, a cleaning step, a surface inspection step and a second surface processing step.
    Type: Application
    Filed: March 18, 2013
    Publication date: August 29, 2013
    Applicant: SHOWA DENKO K.K.
    Inventor: SHOWA DENKO K.K.
  • Publication number: 20130224955
    Abstract: The invention provides compositions and methods for planarizing or polishing a substrate. The composition comprises an abrasive consisting of alumina particles optionally treated with a polymer, an ?-hydroxycarboxylic acid, an oxidizing agent that oxidizes at least one metal, polyacrylic acid, optionally, a calcium-containing compound, optionally, a biocide, optionally, a pH adjusting agent, and water. The method uses the composition to chemically-mechanically polish a substrate.
    Type: Application
    Filed: March 18, 2013
    Publication date: August 29, 2013
    Applicant: Cabot Microelectronics Corporation
    Inventor: Cabot Microelectronics Corporation
  • Patent number: 8518135
    Abstract: The invention provides a polishing composition that contains (a) an abrasive comprising (i) first alpha alumina particles that have an average aspect ratio of 0.8:1 to 1.2:1, (ii) second alpha alumina that have an average aspect ratio of greater than 1.2:1, (iii) fumed alumina particles, and (iv) wet-process silica particles, and (b) water. The invention also provides a method of polishing a substrate, especially a nickel-phosphorous substrate, with the polishing composition.
    Type: Grant
    Filed: August 27, 2012
    Date of Patent: August 27, 2013
    Assignee: Cabot Microelectronics Corporation
    Inventors: Rujee Lorpitthaya, Selvaraj Palanisamy Chinnathambi, Haresh Siriwardane
  • Patent number: 8518297
    Abstract: The present invention provides a polishing composition that can be suitably used in polishing of polysilicon, and a polishing method using the polishing composition. The polishing composition contains abrasive grains and an anionic surfactant having a monooxyethylene group or a polyoxyethylene group and has a pH of 9 to 12. If the anionic surfactant contained in the polishing composition has a polyoxyethylene group, the number of repeating oxyethylene units in the polyoxyethylene group is preferably 2 to 8. The anionic surfactant contained in the polishing composition can be an anionic surfactant that has a phosphate group, a carboxy group, or a sulfo group as well as a monooxyethylene group or a polyoxyethylene group. The content of the anionic surfactant in the polishing composition is preferably 20 to 500 ppm.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: August 27, 2013
    Assignee: Fujimi Incorporated
    Inventors: Mikikazu Shimizu, Tomohiko Akatsuka, Kazuya Sumita
  • Publication number: 20130217229
    Abstract: The invention relates to a polishing liquid for metal film comprising 7.0% by weight or more of an oxidizer for metal, a water-soluble polymer, an oxidized metal dissolving agent, a metal anticorrosive agent and water, provided that the total amount of the polishing liquid for metal film is 100% by weight, wherein the water-soluble polymer has a weight average molecular weight of 150,000 or more and is at least one member selected from among a polycarboxylic acid, a salt of a polycarboxylic acid, and a polycarboxylic acid ester. According to the invention, provided is a polishing liquid for metal film, by which polishing can be performed at a high rate even under a polishing pressure as low as 1 psi or lower, and such that a polished film after polishing is excellent in planarity, furthermore, with which a high polishing rate can be obtained even in an initial stage of polishing, and provided is a polishing method using the polishing liquid.
    Type: Application
    Filed: March 18, 2013
    Publication date: August 22, 2013
    Applicant: HITACHI CHEMICAL CO., LTD.
    Inventor: HITACHI CHEMICAL CO., LTD.
  • Publication number: 20130217231
    Abstract: A chemical mechanical polishing (CMP) composition Abstract Use of a chemical mechanical polishing (CMP) composition comprising (A) inorganic particles, organic particles, or a mixture thereof, (B) a heteropolyacid or a salt thereof, (C) a salt comprising chloride, fluoride, bromide, or a mixture thereof as anion, and (D) an aqueous medium, for polishing a substrate comprising a self-passivating metal, germanium, nickel phosphorous (NiP), or a mixture thereof.
    Type: Application
    Filed: October 4, 2011
    Publication date: August 22, 2013
    Applicant: BASF SE
    Inventors: Bettina Drescher, Bastian Marten Noller, Christine Schmitt, Albert Budiman Sugiharto, Yuzhuo Li
  • Publication number: 20130217230
    Abstract: A method for chemical mechanical polishing of a semiconductor wafer containing a nonferrous metal is provided, comprising: providing a chemical mechanical polishing composition comprising 1 to 25 wt % of an oxidizer; 0.01 to 15 wt % of an inhibitor for the nonferrous metal; 0.005 to 5 wt % of a copolymer of poly(ethylene glycol) methyl ether(meth)acrylate and 1-vinylimidazole; and water; wherein the chemical mechanical polishing composition has an acidic pH; providing a chemical mechanical polishing pad; providing a semiconductor wafer containing the nonferrous metal; creating dynamic contact between the chemical mechanical polishing pad and the semiconductor wafer; and, dispensing the polishing solution at or near the interface between the chemical mechanical polishing pad and the semiconductor wafer.
    Type: Application
    Filed: April 1, 2013
    Publication date: August 22, 2013
    Applicant: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventor: Rohm and Haas Electronic Materials CMP Holdings, Inc.
  • Patent number: 8513126
    Abstract: A chemical mechanical polishing slurry composition is provided, having, as initial components: water; an abrasive, wherein the abrasive is colloidal silica abrasive; a halogenated quaternary ammonium compound according to formula (I); optionally, a diquaternary substance according to formula (II); and, optionally, a pH adjusting agent selected from phosphoric acid, nitric acid, sulfuric acid, hydrochloric acid, ammonium hydroxide and potassium hydroxide; wherein the chemical mechanical polishing slurry composition has a pH of 2 to <7. Also, provided are methods for making the chemical mechanical polishing slurry composition and for using the chemical mechanical polishing composition to polish a substrate.
    Type: Grant
    Filed: September 22, 2010
    Date of Patent: August 20, 2013
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Zhendong Liu, Yi Guo, Kancharla-Arun Kumar Reddy, Guangyun Zhang
  • Patent number: 8513128
    Abstract: A poly opening polish process includes the following steps. A semi-finished semiconductor component is provided. The semi-finished semiconductor component includes a substrate, a gate disposed on the substrate, and a dielectric layer disposed on the substrate and covering the gate. A first polishing process is applied onto the dielectric layer. A second polishing process is applied to the gate. The second polishing process utilizes a wetting solution including a water soluble polymer surfactant, an alkaline compound and water. The poly opening polish process can effectively remove an oxide residue formed in the chemical mechanical polish, thereby improving the performance of the integrated circuit and reducing the production cost of the integrated circuit.
    Type: Grant
    Filed: June 17, 2011
    Date of Patent: August 20, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Chun-Wei Hsu, Po-Cheng Huang, Teng-Chun Tsai, Chia-Lin Hsu, Chih-Hsun Lin, Chang-Hung Kung, Chia-His Chen, Yen-Ming Chen
  • Patent number: 8513127
    Abstract: A planarization method includes planarizing a semiconductor wafer in a first chemical mechanical polish step to remove overburden and planarize a top layer leaving a thickness of top layer material over underlying layers. The top layer material is planarized in a second chemical mechanical polish step to further remove the top layer and expose underlying layers of a second material and a third material such that a selectivity of the top layer material to the second material to the third material is between about 1:1:1 to about 2:1:1 to provide a planar topography.
    Type: Grant
    Filed: January 25, 2011
    Date of Patent: August 20, 2013
    Assignees: International Business Machines Corporation, JSR Corporation
    Inventors: Josephine B. Chang, Leslie Charns, Jason E. Cummings, Michael A. Guillorn, Lukasz J. Hupka, Dinesh R. Koli, Tomohisa Konno, Mahadevaiyer Krishnan, Michael F. Lofaro, Jakub W. Nalaskowski, Masahiro Noda, Dinesh K. Penigalapati, Tatsuya Yamanaka
  • Patent number: 8506835
    Abstract: A cyclic method of chemical mechanical polishing (CMP) a wafer having a surface includes placing the wafer on a platen in a CMP apparatus and then performing a multi-step CMP comprising process. The multi-step CMP process includes delivering a first chemical composition onto the wafer while on the platen for a first time duration, and without removing the wafer from the platen, delivering a second chemical composition different from the first composition onto the wafer for a second time duration after the first time duration. The multi-step CMP comprising process includes CMP removal using a slurry during one of the first and second time durations and a non-polishing process during the other of the first and second time durations. The multi-step CMP comprising process is repeated a plurality of times.
    Type: Grant
    Filed: April 15, 2010
    Date of Patent: August 13, 2013
    Assignee: Sinmat, Inc.
    Inventors: Rajiv Singh, Deepika Singh, Abhudaya Mishra
  • Patent number: 8507383
    Abstract: Methods for polishing multiple dielectric layers to form replacement metal gate structures include a first chemical mechanical polish step to remove overburden and planarize a top layer to leave a planarized thickness over a gate structure. A second chemical mechanical polish step includes removal of the thickness to expose an underlying covered surface of a dielectric of the gate structure with a slurry configured to polish the top layer and the underlying covered surface substantially equally to accomplish a planar topography. A third chemical mechanical polish step is employed to remove the dielectric of the gate structure and expose a gate conductor.
    Type: Grant
    Filed: January 25, 2011
    Date of Patent: August 13, 2013
    Assignees: International Business Machines Corporation, JRS Corporation
    Inventors: Takashi Ando, Leslie Charns, Jason E. Cummings, Lukasz J. Hupka, Dinesh R. Koli, Tomohisa Konno, Mahadevaiyer Krishnan, Michael F. Lofaro, Jakub W. Nalaskowski, Masahiro Noda, Dinesh K. Penigalapati, Tatsuya Yamanaka
  • Patent number: 8501027
    Abstract: A polishing composition includes more than 0.1% by mass of colloidal silica, and water, and has a pH of 6 or less. The polishing composition has the ability to polish a titanium material at a high stock removal rate. Thus, the polishing composition is suitable for use in applications for polishing a titanium-containing object.
    Type: Grant
    Filed: January 4, 2008
    Date of Patent: August 6, 2013
    Assignee: Fujimi Incorporated
    Inventors: Chiyo Horikawa, Koji Ohno, Kazusei Tamai
  • Patent number: 8501028
    Abstract: A method for processing a semiconductor wafer includes bringing at least one grinding tool in contact with the semiconductor wafer; removing material from the semiconductor wafer using the grinding tool; disposing a liquid medium having a viscosity of at least 3×10?3 N/m2·s and at most 100×10?3 N/m2·s between the at least one grinding tool and the semiconductor wafer; and separating the at least one grinding tool and the semiconductor wafer so as to end the processing.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: August 6, 2013
    Assignee: Siltronic AG
    Inventor: Juergen Schwandner
  • Patent number: 8501625
    Abstract: The invention relates to a polishing liquid for metal film comprising 7.0% by weight or more of an oxidizer for metal, a water-soluble polymer, an oxidized metal dissolving agent, a metal anticorrosive agent and water, provided that the total amount of the polishing liquid for metal film is 100% by weight, wherein the water-soluble polymer has a weight average molecular weight of 150,000 or more and is at least one member selected from among a polycarboxylic acid, a salt of a polycarboxylic acid, and a polycarboxylic acid ester. According to the invention, provided is a polishing liquid for metal film, by which polishing can be performed at a high rate even under a polishing pressure as low as 1 psi or lower, and such that a polished film after polishing is excellent in planarity, furthermore, with which a high polishing rate can be obtained even in an initial stage of polishing, and provided is a polishing method using the polishing liquid.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: August 6, 2013
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Kouji Haga, Masato Fukasawa, Jin Amanokura, Hiroshi Nakagawa
  • Patent number: 8497210
    Abstract: A polishing method includes polishing, in a first polish, a wafer to remove overburden and planarize a top layer leaving a portion remaining on an underlying layer. A second polishing step includes two phases. In a first phase, the top layer is removed and the underlying layer is exposed, with a top layer to underlying layer selectivity of between about 1:1 to about 2:1 to provide a planar topography. In a second phase, residual portions of the top layer are removed from a top of the underlying layer to ensure complete exposure of an underlying layer surface.
    Type: Grant
    Filed: January 24, 2011
    Date of Patent: July 30, 2013
    Assignees: International Business Machines Corporation, JRS Corporation
    Inventors: Leslie Charns, Jason E. Cummings, Lukasz J. Hupka, Dinesh R. Koli, Tomohisa Konno, Mahadevaiyer Krishnan, Michael F. Lofaro, Jakub W. Nalaskowski, Masahiro Noda, Dinesh K. Penigalapati, Tatsuya Yamanaka
  • Publication number: 20130189843
    Abstract: A slurry for planarization of a photoresist includes abrasive particles, an oxidizer, a surface activation chemical, and a solvent.
    Type: Application
    Filed: January 23, 2013
    Publication date: July 25, 2013
    Inventors: You Wang, Wen-Chiang Tu, Lakshmanan Karuppiah, Yufei Chen
  • Patent number: 8491806
    Abstract: The invention is directed to a chemical-mechanical polishing formulation that includes: an abrasive particulate component; iodic acid; and water. The invention is also directed to a method for polishing a metal-containing substrate, the method including the steps of polishing the metal-containing substrate with a polishing pad at a suitable polishing pressure while the metal-containing substrate is in contact with the above polishing formulation.
    Type: Grant
    Filed: January 12, 2010
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: James A. Hagan, James Hannah
  • Patent number: 8492277
    Abstract: A method for chemical mechanical polishing of a substrate is provided, comprising: providing a substrate, wherein the substrate comprises polysilicon and at least one of silicon oxide and silicon nitride; providing a chemical mechanical polishing composition, comprising, as initial components: water; an abrasive; and an acyclic organosulfonic acid compound, wherein the acyclic organosulfonic acid compound has an acyclic hydrophobic portion having 6 to 30 carbon atoms and a nonionic acyclic hydrophilic portion having 10 to 300 carbon atoms; providing a chemical mechanical polishing pad with a polishing surface; moving the polishing surface relative to the substrate; dispensing the chemical mechanical polishing composition onto the polishing surface; and, abrading at least a portion of the substrate to polish the substrate; wherein at least some of the polysilicon is removed from the substrate; and, wherein at least some of the at least one of silicon oxide and silicon nitride is removed from the substrate.
    Type: Grant
    Filed: March 16, 2010
    Date of Patent: July 23, 2013
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc
    Inventors: Yi Guo, Zhendong Liu, Kancharla-Arun Kumar Reddy, Guangyun Zhang
  • Patent number: 8492276
    Abstract: A chemical mechanical polishing aqueous dispersion is used to polish a polishing target that includes an interconnect layer that contains tungsten. The chemical mechanical polishing aqueous dispersion includes: (A) a cationic water-soluble polymer; (B) an iron (III) compound; and (C) colloidal silica particles. The content (MA) (mass %) of the cationic water-soluble polymer (A) and the content (MB) (mass %) of the iron (III) compound (B) satisfy the relationship “MA/MB=0.004 to 0.1”. The chemical mechanical polishing aqueous dispersion has a pH of 1 to 3.
    Type: Grant
    Filed: August 7, 2009
    Date of Patent: July 23, 2013
    Assignees: JSR Corporation, Kabushiki Kaisha Toshiba
    Inventors: Taichi Abe, Hirotaka Shida, Akihiro Takemura, Mitsuru Meno, Shinichi Hirasawa, Kenji Iwade, Takeshi Nishioka
  • Patent number: 8486169
    Abstract: A chemical-mechanical polishing system comprising: (a) ceria abrasive having an average particle size of about 180 nm or less and a positive zeta potential, (b) a polishing additive bearing a functional group with a pKa of about 3 to about 9, wherein the polishing additive is selected from the group consisting of arylamines, aminoalcohols, aliphatic amines, heterocyclic amines, hydroxamic acids, aminocarboxylic acids, cyclic monocarboxylic acids, unsaturated monocarboxylic acids, substituted phenols, sulfonamides, thiols, salts thereof, and combinations thereof, and (c) a liquid carrier, wherein the chemical-mechanical polishing system has a pH of about 4 to about 6.
    Type: Grant
    Filed: September 26, 2008
    Date of Patent: July 16, 2013
    Assignee: Cabot Microelectronics Corporation
    Inventors: Phillip W. Carter, Timothy P. Johns
  • Publication number: 20130178065
    Abstract: A composition and associated method for chemical mechanical planarization of a metal-containing substrate (e.g., a copper substrate) are described herein which afford high and tunable rates of metal removal as well as low within a wafer non-uniformity values and low residue levels remaining after polishing.
    Type: Application
    Filed: March 4, 2013
    Publication date: July 11, 2013
    Applicant: Air Products and Chemicals, Inc.
    Inventor: Air Products and Chemicals, Inc.
  • Patent number: 8480915
    Abstract: According to one embodiment, the method of manufacturing a semiconductor device includes contacting a film formed on a semiconductor substrate with a rotating polishing pad which is supported on a turntable, and feeding polishing foam to a region of the polishing pad with which the film is contacted, thereby polishing the film. The polishing foam is obtained by turning the aqueous dispersion into a foamy body. The aqueous dispersion includes 0.01-20% by mass of abrasive grain and 0.01-1% by mass of foam forming and retaining agent, all based on a total mass of the aqueous dispersion.
    Type: Grant
    Filed: September 19, 2011
    Date of Patent: July 9, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Gaku Minamihaba, Yukiteru Matsui
  • Patent number: 8481428
    Abstract: The present invention relates to polishing slurry and polishing method used for polishing in a process for forming wirings of a semiconductor device, and the like. There are provided polishing slurry giving a polished surface having high flatness even if the polished surface is made of two or more substances, and further, capable of suppressing metal residue and scratches after polishing, and a method of chemical mechanical polishing using this. The polishing slurry of the present invention is polishing slurry containing at least one of a surfactant and an organic solvent, and a metal oxide dissolving agent and water, or polishing slurry containing water and abrasive of which surface has been modified with an alkyl group, and preferably, it further contains a metal oxidizer, water-soluble polymer, and metal inhibitor.
    Type: Grant
    Filed: November 18, 2011
    Date of Patent: July 9, 2013
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Jin Amanokura, Takafumi Sakurada, Sou Anzai, Masato Fukasawa, Shouichi Sasaki
  • Patent number: 8480920
    Abstract: A chemical mechanical polishing aqueous dispersion that is used to polish a polishing target that includes a wiring layer that contains tungsten, the chemical mechanical polishing aqueous dispersion including: (A) a cationic water-soluble polymer; (B) an iron (III) compound; and (C) colloidal silica having an average particle diameter calculated from a specific surface area determined by the BET method of 10 to 60 nm, the content (MA) (mass %) of the cationic water-soluble polymer (A) and the content (MC) (mass %) of the colloidal silica (C) satisfying the relationship “MA/MC=0.0001 to 0.003”, and the chemical mechanical polishing aqueous dispersion having a pH of 1 to 3.
    Type: Grant
    Filed: March 30, 2010
    Date of Patent: July 9, 2013
    Assignee: JSR Corporation
    Inventors: Hirotaka Shida, Akihiro Takemura, Taichi Abe
  • Publication number: 20130171824
    Abstract: CMP process for substrates containing silicon oxide dielectric films and polysilicon and/or silicon nitride films comprising the steps of (1) contacting the substrate with an aqueous composition containing (A) abrasive particles which are positively charged when dispersed in an aqueous medium having a pH in the range of from 3 to 9; (B) a water-soluble or water-dispersible linear or branched alkylene oxide homopolymer or copolymer; and (C) a water-soluble or water-dispersible polymer selected from (c1) aliphatic and cycloaliphatic poly(N-vinylamide) homopolymers and copolymers, (c2) homopolymers and copolymers of acrylamide monomers of the general formulas I and II: H2C?C(—R)—C(=0)-N(—R1)(—R2) (I), H2C?C(—R)—C(=0)-R3 (II), wherein the variables have the following meaning R hydrogen atom, fluorine atom, chlorine atom, nitrile group, or organic residue; R1 and R2 hydrogen atom or organic residue; R3 saturated N-heterocyclic ring; (c3) cationic polymeric flocculants; and (c4) mixtures thereof; (2) polishing the
    Type: Application
    Filed: September 6, 2011
    Publication date: July 4, 2013
    Applicant: BASF SE
    Inventors: Yuzhuo Li, Shyam Sundar Venkataraman, Harvey Wayne Pinder
  • Publication number: 20130164937
    Abstract: The embodiments describe systems and methods for combinatorial processing of a substrate. In some embodiments, chemical mechanical polishing (CMP) techniques are combinatorially processed and evaluated. The CMP system is capable of providing a localized planarization surface to at least a region of a substrate being combinatorially processed. In some embodiments, the CMP system comprises a reactor assembly having plurality of reaction chambers, with at least a reaction chamber comprising a rotatable polishing head, slurry and chemical distribution, chemical and water rinse, and slurry and fluid removal. Accordingly, from a single substrate, a variety of materials, process conditions, and process sequences may be evaluated for desired planarization results.
    Type: Application
    Filed: December 21, 2011
    Publication date: June 27, 2013
    Applicant: Intermolecular, Inc.
    Inventor: Glen Egami
  • Patent number: 8470195
    Abstract: A chemical mechanical polishing aqueous dispersion preparation set including: a first composition which includes colloidal silica having an average primary particle diameter of 15 to 40 nm and a basic compound and has a pH of 8.0 to 11.0; and a second composition which includes poly(meth)acrylic acid and an organic acid having two or more carbonyl groups other than the poly(meth)acrylic acid and has a pH of 1.0 to 5.0.
    Type: Grant
    Filed: May 27, 2008
    Date of Patent: June 25, 2013
    Assignee: JSR Corporation
    Inventors: Eiichirou Kunitani, Hirotaka Shida, Kazuhito Uchikura
  • Patent number: 8461047
    Abstract: A method for processing an amorphous carbon film which has been formed on a substrate and wet-cleaned after being dry-etched includes preparing the substrate having the wet-cleaned amorphous carbon film and modifying a surface of the amorphous carbon film, before forming an upper layer on the wet-cleaned amorphous carbon film.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: June 11, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Hiraku Ishikawa, Takaaki Matsuoka
  • Publication number: 20130143404
    Abstract: The polishing liquid according to the embodiment comprises abrasive grains, an additive and water, wherein the abrasive grains satisfy either or both of the following conditions (a) and (b). (a) Producing absorbance of at least 1.50 for light with a wavelength of 400 nm in an aqueous dispersion with a content of the abrasive grains adjusted to 1.0 mass %, and also producing light transmittance of at least 50%/cm for light with a wavelength of 500 nm in an aqueous dispersion with a content of the abrasive grains adjusted to 1.0 mass %. (b) Producing absorbance of at least 1.000 for light with a wavelength of 290 nm in an aqueous dispersion with a content of the abrasive grains adjusted to 0.0065 mass %, and also producing light transmittance of at least 50%/cm for light with a wavelength of 500 nm in an aqueous dispersion with a content of the abrasive grains adjusted to 1.0 mass %.
    Type: Application
    Filed: January 31, 2013
    Publication date: June 6, 2013
    Inventors: Tomohiro IWANO, Takenori NARITA, Daisuke RYUZAKI
  • Publication number: 20130143405
    Abstract: A silicon-wafer processing fluid used for processing a silicon wafer contains a friction modifier containing a nitrogen-containing compound, pH of the nitrogen-containing compound being in a range from 2 to 8 when a mass ratio with water (i.e. nitrogen-containing compound/water) is 1/99. The nitrogen-containing compound is preferably a heterocyclic compound. The silicon-wafer processing fluid restrains an abrasion of abrasive grains rigidly attached to a wire and generation of hydrogen.
    Type: Application
    Filed: August 23, 2011
    Publication date: June 6, 2013
    Applicant: IDEMITSU KOSAN CO., LTD.
    Inventor: Tomohiko Kitamura
  • Patent number: 8455362
    Abstract: A chemical mechanical polishing method includes providing a device layer having a surface to be polished, polishing the surface using an alkaline grinding slurry, removing a residual layer that is been formed on the polished surface using an acid buffer, forming a passivation layer covering the polished surface of the device layer after the residual layer has been removed, and cleaning the passivation layer using deionized water. A semiconductor device thus fabricated has surfaces with excellent flatness, good manufacturing yield and long-term reliability.
    Type: Grant
    Filed: October 5, 2011
    Date of Patent: June 4, 2013
    Assignee: Semiconductor Manufacturing International Corp.
    Inventors: Feng Zhao, Wufeng Deng, Jingmin Zhao, Feng Chen, Chunliang Liu
  • Publication number: 20130137265
    Abstract: The polishing liquid according to the embodiment comprises abrasive grains, an additive and water, wherein the abrasive grains include a tetravalent metal element hydroxide, and produce a liquid phase with a nonvolatile content of 500 ppm or greater when an aqueous dispersion with a content of the abrasive grains adjusted to 1.0 mass % has been centrifuged for 50 minutes at a centrifugal acceleration of 1.59×105 G.
    Type: Application
    Filed: January 31, 2013
    Publication date: May 30, 2013
    Inventor: Tomohiro IWANO
  • Publication number: 20130130501
    Abstract: The polishing liquid according to the embodiment comprises abrasive grains, an additive and water, wherein the abrasive grains satisfy either or both of the following conditions (a) and (b). (a) Producing absorbance of at least 1.50 for light with a wavelength of 400 nm in an aqueous dispersion with a content of the abrasive grains adjusted to 1.0 mass %, and also producing light transmittance of at least 50%/cm for light with a wavelength of 500 nm in an aqueous dispersion with a content of the abrasive grains adjusted to 1.0 mass %. (b) Producing absorbance of at least 1.000 for light with a wavelength of 290 nm in an aqueous dispersion with a content of the abrasive grains adjusted to 0.0065 mass %, and also producing light transmittance of at least 50%/cm for light with a wavelength of 500 nm in an aqueous dispersion with a content of the abrasive grains adjusted to 1.0 mass %.
    Type: Application
    Filed: January 31, 2013
    Publication date: May 23, 2013
    Inventors: Tomohiro IWANO, Takenori NARITA, Daisuke RYUZAKI
  • Patent number: 8444728
    Abstract: A chemical mechanical polishing composition, comprising, as initial components: water; 0.1 to 20 wt % abrasive having an average particle size of 5 to 50 nm; and, 0.001 to 1 wt % of an adamantyl substance according to formula (II): wherein A is selected from N and P; wherein each R8 is independently selected from hydrogen, a saturated or unsaturated C1-15 alkyl group, C6-15 aryl group, C6-15 aralkyl group, C6-15 alkaryl group; and, wherein the anion in formula (II) can be any anion that balances the positive charge on the cation in formula (II).
    Type: Grant
    Filed: June 12, 2012
    Date of Patent: May 21, 2013
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Yi Guo, Zhendong Liu, Kancharla-Arun Kumar Reddy, Guangyun Zhang
  • Publication number: 20130122705
    Abstract: A colloidal dispersion for chemical mechanical polishing comprising: (a) an abrasive component; and (b) from about 0.05% to about 10% by weight of the abrasive component, a water-soluble amphoteric polymer comprising at least one macromolecular chain B and a part A bonded to a single end of the at least one macromolecular chain B, wherein the macromolecular chain B is derived from one or more ethylenically unsaturated monomers having quaternary ammonium groups or inium groups, and wherein the part A is a polymeric or nonpolymeric group comprising at least one anionic group; wherein the dispersion has a pH of between about 1.5 and about 6. The colloidal dispersion is capable of polishing a substrate comprising silicon nitride and silicon oxide with a reverse selectivity ratio of at least about 27, typically at least 50 the reverse selectivity ratio being the ratio of the rate of removal of the silicon nitride to the rate of removal of the silicon oxide.
    Type: Application
    Filed: January 3, 2013
    Publication date: May 16, 2013
    Applicants: Clarkson University, Rhodia Operations
    Inventors: Suryadevara V. BABU, Pradeepa DANDU, Vamsi K. DEVARAPALLI, Guillaume CRINIERE, Claire PITOIS
  • Patent number: 8440094
    Abstract: A process for chemical mechanical polishing of a substrate having a polysilicon overburden deposited over silicon dioxide is provided using multiple dilutions of a chemical mechanical polishing composition concentrate to polish the substrate, wherein a first dilution of the concentrate used to polish the substrate is tuned to exhibit a first polysilicon removal rate and a first polysilicon to silicon dioxide removal rate selectivity; and wherein a second dilution of the concentrate used to polish the substrate is tuned to exhibit a second polysilicon removal rate and a second polysilicon to silicon dioxide removal rate selectivity.
    Type: Grant
    Filed: October 27, 2011
    Date of Patent: May 14, 2013
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Yi Guo, Kancharla-Arun Kumar Reddy
  • Patent number: 8435900
    Abstract: The invention provides a method for manufacturing a transistor which includes: providing a substrate having a plurality of transistors formed thereon, wherein each transistor includes a gate; forming a stressed layer and a first oxide layer on the transistors and on the substrate successively; forming a sacrificial layer on the first oxide layer; patterning the sacrificial layer to remove a part of the sacrificial layer which covers on the gates of the transistors; forming a second oxide layer on the residual sacrificial layer and on a part of the first oxide layer which is exposed after the part of the sacrificial layer is removed; performing a first planarization process to remove a part of the second oxide layer located on the gates of the transistors; performing a second planarization process to remove the residual second oxide layer; and performing a third planarization process to remove the stressed layer.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: May 7, 2013
    Assignee: Semiconductor Manufacturing International Corp.
    Inventors: Qun Shao, Zhongshan Hong
  • Patent number: 8435420
    Abstract: A process for chemical mechanical polishing of a substrate having a polysilicon overburden deposited over silicon nitride is provided using multiple dilutions of a chemical mechanical polishing composition concentrate to polish the substrate, wherein a first dilution of the concentrate used to polish the substrate is tuned to exhibit a first polysilicon removal rate and a first polysilicon to silicon nitride removal rate selectivity; and wherein a second dilution of the concentrate used to polish the substrate is tuned to exhibit a second polysilicon removal rate and a second polysilicon to silicon nitride removal rate selectivity.
    Type: Grant
    Filed: October 27, 2011
    Date of Patent: May 7, 2013
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Yi Guo, Kancharla-Arun Kumar Reddy
  • Patent number: 8435896
    Abstract: A chemical mechanical polishing composition useful for chemical mechanical polishing a semiconductor wafer containing an interconnect metal is provided, comprising, as initial components: water; an azole inhibitor; an alkali metal organic surfactant; a hydrotrope; a phosphorus containing agent; a water soluble cellulose; optionally, a non-saccharide water soluble polymer; optionally, a water soluble acid compound of formula I, wherein R is selected from a hydrogen and a C1-5 alkyl group, and wherein x is 1 or 2; optionally, a complexing agent; optionally, an oxidizer; optionally, an organic solvent; and, optionally, an abrasive.
    Type: Grant
    Filed: March 3, 2011
    Date of Patent: May 7, 2013
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Hamed Lakrout, Jinjie Shi, Joseph Letizia, Xu Li, Thomas H. Kalantar, Francis Kelley, J. Keith Harris, Christopher J. Tucker
  • Publication number: 20130109181
    Abstract: A process for chemical mechanical polishing of a substrate having a polysilicon overburden deposited over silicon dioxide is provided using multiple dilutions of a chemical mechanical polishing composition concentrate to polish the substrate, wherein a first dilution of the concentrate used to polish the substrate is tuned to exhibit a first polysilicon removal rate and a first polysilicon to silicon dioxide removal rate selectivity; and wherein a second dilution of the concentrate used to polish the substrate is tuned to exhibit a second polysilicon removal rate and a second polysilicon to silicon dioxide removal rate selectivity.
    Type: Application
    Filed: October 27, 2011
    Publication date: May 2, 2013
    Inventors: Yi Guo, Kancharla-Arun Kumar Reddy
  • Publication number: 20130109182
    Abstract: A process for chemical mechanical polishing of a substrate having a polysilicon overburden deposited over silicon nitride is provided using multiple dilutions of a chemical mechanical polishing composition concentrate to polish the substrate, wherein a first dilution of the concentrate used to polish the substrate is tuned to exhibit a first polysilicon removal rate and a first polysilicon to silicon nitride removal rate selectivity; and wherein a second dilution of the concentrate used to polish the substrate is tuned to exhibit a second polysilicon removal rate and a second polysilicon to silicon nitride removal rate selectivity.
    Type: Application
    Filed: October 27, 2011
    Publication date: May 2, 2013
    Inventors: Yi Guo, Kancharla-Arun Kumar Reddy
  • Patent number: 8431490
    Abstract: A method for chemical mechanical polishing of a substrate is provided, comprising: providing a substrate, wherein the substrate comprises silicon oxide; providing a chemical mechanical polishing composition, comprising, as initial components: water; an abrasive; and a substance according to formula I wherein R1, R2 and R3 are each independently selected from a C1-4 alky group; providing a chemical mechanical polishing pad with a polishing surface; moving the polishing surface relative to the substrate; dispensing the chemical mechanical polishing composition onto the polishing surface; and, abrading at least a portion of the substrate to polish the substrate; wherein the substance according to formula I included in the chemical mechanical polishing composition provides an enhanced silicon oxide removal rate and an improved polishing defectivity performance; and, wherein at least some of the silicon oxide is removed from the substrate.
    Type: Grant
    Filed: March 31, 2010
    Date of Patent: April 30, 2013
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Yi Guo, Zhendong Liu, Kancharla-Arun Kumar Reddy, Guangyun Zhang
  • Publication number: 20130095661
    Abstract: According to one embodiment, a CMP method includes starting a polishing of a silicon oxide film by using a slurry including a silicon oxide abrasive and a polishing stopper film including a silicon nitride film, and stopping the polishing when the polishing stopper is exposed. The slurry includes a first water-soluble polymer with a weight-average molecular weight of 50000 or more and 5000000 or less, and a second water-soluble polymer with a weight-average molecular weight of 1000 or more and 10000 or less.
    Type: Application
    Filed: March 23, 2012
    Publication date: April 18, 2013
    Inventors: Akifumi GAWASE, Yukiteru Matsui, Gaku Minamihaba, Hajime Eda
  • Patent number: 8419957
    Abstract: A method for producing a micromechanical component is proposed, a trench structure being substantially completely filled up by a first filler layer, and a first mask layer being applied on the first filler layer, on which in turn a second filler layer and a second mask layer are applied. A micromechanical component is also proposed, the first filler layer filling up the trench structure of the micromechanical component and at the same time forming a movable sensor structure.
    Type: Grant
    Filed: April 8, 2008
    Date of Patent: April 16, 2013
    Assignee: Robert Bosch GmbH
    Inventors: Roland Scheuerer, Heribert Weber, Eckhard Graf
  • Patent number: 8420537
    Abstract: Recrystallization and grain growth of metal, such as Cu, is achieved at higher anneal temperatures of 150° C. to 400° C., for example, for short anneal times of five to sixty minutes by forming a metal stress locking layer on the Cu before anneal and chemical-mechanical polishing. The stress locking layer extends the elastic region of the Cu by suppressing atom diffusion to the free surface, resulting in near zero tensile stress at room temperature after anneal. Stress voiding, which creates reliability problems, is thereby avoided. Improved grain size and texture are also achieved. The stress locking layer is removed after anneal by chemical-mechanical polishing leaving the Cu interconnect with low stress and improved grain size and texture.
    Type: Grant
    Filed: May 28, 2008
    Date of Patent: April 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kaushik Chanda, Ronald G. Filippi, Charles C. Goldsmith, Ping-Chuan Wang, Chih-Chao Yang
  • Patent number: 8420505
    Abstract: A process to thin semiconductor wafers to less than 50 microns employs a dissolvable photoresist or polyimide or other glue material to hold a thick carrier plate such as a perforated glass to the top surface of a thick processed wafer and to grind or otherwise remove the bulk of the wafer from its rear surface, leaving only the preprocessed top surface, which may include semiconductor device diffusions and electrodes. A thick metal such as copper or a more brittle copper alloy is then conductively secured to the ground back surface and the glue is dissolved and the carrier plate is removed. The wafer is then cleaned and diced into plural devices such as MOSFETs; integrated circuits and the like.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: April 16, 2013
    Assignee: International Rectifier Corporation
    Inventor: Igor Bol
  • Patent number: 8414789
    Abstract: A composition and associated method for chemical mechanical planarization of a metal-containing substrate (e.g., a copper substrate) are described herein which afford high and tunable rates of metal removal as well as low within a wafer non-uniformity values and low residue levels remaining after polishing.
    Type: Grant
    Filed: December 7, 2009
    Date of Patent: April 9, 2013
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Xiaobo Shi, Bentley J. Palmer, Rebecca A. Sawayda, Fadi Abdallah Coder, Victoria Perez
  • Patent number: 8409990
    Abstract: The present invention provides an aqueous CMP slurry composition that includes abrasive particles and from about 0.01% to the limit of solubility in water of a compound according to Formula (I): wherein only one of R1, R2, R3, R4 and R5 is a hydroxyl group (—OH), only one of R1, R2, R3, R4 and R5 is a methoxy group (—OCH3), and the three of R1, R2, R3, R4 and R5 that are not either a hydroxyl group (—OH) or a methoxy group (—OCH3) are hydrogen atoms (—H).
    Type: Grant
    Filed: August 25, 2009
    Date of Patent: April 2, 2013
    Assignee: Ferro Corporation
    Inventor: Bradley M. Kraft
  • Patent number: 8404143
    Abstract: The present disclosure provides a concentrate for use in chemical mechanical polishing slurries, and a method of diluting that concentrate to a point of use slurry. The concentrate comprises abrasive, complexing agent, and corrosion inhibitor, and the concentrate is diluted with water and oxidizer. These components are present in amounts such that the concentrate can be diluted at very high dilution ratios, without affecting the polishing performance.
    Type: Grant
    Filed: February 22, 2012
    Date of Patent: March 26, 2013
    Assignee: Fujifilm Planar Solutions, LLC
    Inventors: Hyungjun Kim, Richard Wen, Bin Hu, Minae Tanaka, Deepak Mahulikar