Utilizing Particulate Abradant Patents (Class 438/693)
  • Publication number: 20130072021
    Abstract: The invention provides a chemical-mechanical polishing composition comprising coated ?-alumina particles, an organic carboxylic acid, and water. The invention also provides a chemical-mechanical polishing composition comprising an abrasive having a negative zeta potential in the polishing composition, an organic carboxylic acid, at least one alkyldiphenyloxide disulfonate surfactant, and water, wherein the polishing composition does not further comprise a heterocyclic compound. The abrasive is colloidally stable in the polishing composition. The invention further provides methods of polishing a substrate with the aforesaid polishing compositions.
    Type: Application
    Filed: September 20, 2011
    Publication date: March 21, 2013
    Inventors: Ji Cui, Steven Grumbine, Glenn Whitener, Chih-An Lin
  • Patent number: 8398878
    Abstract: Semiconductor wafers are polished by a material-removing polishing process A, on both sides of the wafer, using an abrasive-free polishing pad, and a polishing agent which contains abrasive; and a material-removing polishing process B, on at least one side of the wafer, using a polishing pad with a microstructured surface containing no materials which contact the wafer which are harder than the semiconductor material, and a polishing agent is added which has a pH? to 10 and contains no substances with abrasive action. Preferred is a method for producing a semiconductor wafer, comprising the following ordered steps: separating a semiconductor single crystal into wafers; simultaneously processing both sides of the wafer by chip-removing processing; polishing the wafer, comprising a polishing process A and a polishing process B; and CMP of one side of the wafer, removing <1 ?m.
    Type: Grant
    Filed: April 6, 2010
    Date of Patent: March 19, 2013
    Assignee: Siltronic AG
    Inventor: Georg Pietsch
  • Publication number: 20130059439
    Abstract: The CMP polishing liquid of the invention is used by mixing a first solution and a second solution, the first solution comprises cerium-based abrasive grains, a dispersant and water, the second solution comprises a polyacrylic acid compound, a surfactant, a pH regulator, a phosphoric acid compound and water, the pH of the second solution is 6.5 or higher, and the first solution and second solution are mixed so that the phosphoric acid compound content is within a prescribed range. The CMP polishing liquid of the invention comprises cerium-based abrasive grains, a dispersant, a polyacrylic acid compound, a surfactant, a pH regulator, a phosphoric acid compound and water, with the phosphoric acid compound content being within a prescribed range.
    Type: Application
    Filed: November 6, 2012
    Publication date: March 7, 2013
    Applicant: Hitachi Chemical Company, Ltd.
    Inventor: Hitachi Chemical Company, Ltd.
  • Patent number: 8389409
    Abstract: Semiconductor wafers are produced by a process of: a) providing a semiconductor wafer by cutting a silicon ingot into wafers; b) rounding the edge of the wafer, so that the wafer comprises plane surfaces on the frontside and backside and rounded oblique surfaces in the edge region; c) polishing the frontside and backside of the wafer, the frontside being polished by chemical-mechanical polishing using a polishing pad which is free of abrasive fixed in the polishing pad; backside polishing being carried out in three steps, using a polishing pad containing fixed abrasive which is pressed onto the backside of the wafer, a polishing agent free of solids introduced between the polishing pad and the backside of the wafer in the first step, a polishing agent containing abrasive being introduced in the second and third steps, a polishing pressure of 8-15 psi in the first and second steps being reduced to 0.5-5 psi in the third step.
    Type: Grant
    Filed: May 12, 2010
    Date of Patent: March 5, 2013
    Assignee: Siltronic AG
    Inventor: Juergen Schwandner
  • Publication number: 20130045599
    Abstract: A method for chemical mechanical polishing of a copper substrate, is provided, comprising: providing a copper substrate; providing slurry composition comprising, as initial components: water; 0.1 to 20 wt % abrasive; 0.01 to 15 wt % complexing agent; 0.02 to 5 wt % inhibitor; 0.01 to 5 wt % phosphorus containing compound; 0.001 to 3 wt % polyvinyl pyrrolidone; >0.1 to 1 wt % histidine; >0.1 to 1 wt % guanidine; optional oxidizing agent; optional leveling agent; optional biocide; and, optional pH adjusting agent; wherein the slurry composition provided has pH of 9 to 11; providing a chemical mechanical polishing pad with a polishing surface; dispensing the slimy composition onto the polishing surface at or near the interface between the polishing surface and the substrate; and, creating dynamic contact at an interface between the polishing surface and the substrate with a down force of 0.69 to 34.5 kPa; wherein the substrate is polished.
    Type: Application
    Filed: August 15, 2011
    Publication date: February 21, 2013
    Applicant: Rohm and Electronic Materials CMP Holdings, Inc.
    Inventor: Qianqiu Ye
  • Publication number: 20130045598
    Abstract: A method for chemical mechanical polishing of a substrate comprising tungsten using a nonselective chemical mechanical polishing composition.
    Type: Application
    Filed: August 15, 2011
    Publication date: February 21, 2013
    Applicant: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Yi Guo, Jerry Lee, Raymond L. Lavoie, JR., Guangyun Zhang
  • Publication number: 20130040461
    Abstract: A polishing composition contains at least one water soluble polymer selected from the group consisting of polyvinylpyrrolidone and poly(N-vinylformamide), and an alkali, and preferably further contains at least one of a chelating agent and an abrasive grain. The water soluble polymer preferably has a weight average molecular weight of 6,000 to 4,000,000. The polishing composition is mainly used in polishing of the surfaces of semiconductor wafers such as silicon wafers, especially used in preliminary polishing of the surfaces of such wafers.
    Type: Application
    Filed: October 19, 2012
    Publication date: February 14, 2013
    Applicant: FUJIMI INCORPORATED
    Inventor: Fujimi Incorporated
  • Patent number: 8372305
    Abstract: The present invention relates to compositions for chemical-mechanical polishing comprising A 0.01% to 40% by weight based on the total amount of the composition of abrasive particles of at least one porous metal-organic framework material, wherein the framework material comprises at least one at least bidentate organic compound which is coordinately bound to at least one metal ion; B 40% to 99.8% by weight based on the total amount of the composition of a liquid carrier; and C 0.01% to 20% by weight based on the total amount of the composition of a polishing additive component. The invention further relates to the use of said composition as well as methods for chemical-mechanical polishing of a surface with the aid of said compositions.
    Type: Grant
    Filed: May 21, 2008
    Date of Patent: February 12, 2013
    Assignee: BASF SE
    Inventors: Markus Schubert, Sven Thate
  • Patent number: 8372303
    Abstract: Disclosed is cerium oxide powder for a CMP abrasive, which can improve polishing selectivity of a silicon oxide layer to a silicon nitride layer and/or within-wafer non-uniformity (WIWNU) during chemical mechanical polishing in a semiconductor fabricating process. More particularly, the cerium oxide powder is obtained by using cerium carbonate having a hexagonal crystal structure as a precursor. Also, CMP slurry comprising the cerium oxide powder as an abrasive, and a shallow trench isolation method for a semiconductor device using the CMP slurry as polishing slurry are disclosed.
    Type: Grant
    Filed: July 26, 2007
    Date of Patent: February 12, 2013
    Assignee: LG Chem, Ltd.
    Inventors: Myoung Hwan Oh, Seung Beom Cho, Jun Seok Nho, Jong Pil Kim, Jang Yul Kim
  • Patent number: 8372304
    Abstract: A polishing slurry used in chemical mechanical polishing of a barrier layer and an interlayer dielectric film in a semiconductor integrated circuit includes an abrasive, an oxidizer, an anticorrosive, an acid, a surfactant and an inclusion compound. The polishing slurry has a pH of less than 5. The resulting polishing slurry contains a solid abrasive used in barrier CMP for polishing a barrier layer made of a metallic barrier material, has excellent storage stability, achieves a good polishing rate in various films to be polished such as the barrier layer, and is capable of independently controlling the polishing rate with respect to the various films to be polished while further suppressing agglomeration of the abrasive particles.
    Type: Grant
    Filed: June 9, 2009
    Date of Patent: February 12, 2013
    Assignee: FUJIFILM Corporation
    Inventors: Tooru Yamada, Tetsuya Kamimura
  • Patent number: 8366959
    Abstract: A colloidal dispersion for chemical mechanical polishing comprising: (a) an abrasive component; and (b) from about 0.05% to about 10% by weight of the abrasive component, a water-soluble amphoteric polymer comprising at least one macromolecular chain B and a part A bonded to a single end of the at least one macromolecular chain B, wherein the macromolecular chain B is derived from one or more ethylenically unsaturated monomers having quaternary ammonium groups or inium groups, and wherein the part A is a polymeric or nonpolymeric group comprising at least one anionic group; wherein the dispersion has a pH of between about 1.5 and about 6. The colloidal dispersion is capable of polishing a substrate comprising silicon nitride and silicon oxide with a reverse selectivity ratio of at least about 27, typically at least 50 the reverse selectivity ratio being the ratio of the rate of removal of the silicon nitride to the rate of removal of the silicon oxide.
    Type: Grant
    Filed: September 25, 2009
    Date of Patent: February 5, 2013
    Assignees: Rhodia Operations, Clarkson University
    Inventors: Suryadevara V. Babu, Pradeepa Dandu, Vamsi K Devarapalli, Guillaume Crinière, Claire Pitois
  • Publication number: 20130029489
    Abstract: The present invention relates to a polishing slurry for performing chemical mechanical polishing on a surface to be polished including a surface made of silicon oxide and a surface made of metal, characterized in that it includes cerium oxide particles, a complexing agent, and water.
    Type: Application
    Filed: October 1, 2012
    Publication date: January 31, 2013
    Applicant: ASAHI GLASS COMPANY, LIMITED
    Inventor: ASAHI GLASS COMPANY, LIMITED
  • Patent number: 8349042
    Abstract: The present invention relates to a polishing slurry including: a colloidal silica having an average particle size of 40 nm or more; water; and a ? potential adjusting component, in which the ? potential adjusting component includes at least one water-soluble organic polymer selected from a water-soluble polyether polyamine and a water-soluble polyalkylene polyamine and at least one acid selected from hydrochloric acid, sulfuric acid, nitric acid, nitrous acid and amidosulfuric acid, and the ? potential adjusting component contains the acid at a ratio of from 0.6 to 1.4 to the water-soluble organic polymer in terms of molar ratio, and the polishing slurry has a pH of 8 or more.
    Type: Grant
    Filed: March 29, 2011
    Date of Patent: January 8, 2013
    Assignee: Asahi Glass Company, Limited
    Inventor: Katsuaki Miyatani
  • Patent number: 8349731
    Abstract: Embodiments of methods for forming Cu diffusion barriers for semiconductor interconnect structures are provided. The method includes oxidizing an exposed outer portion of a copper line that is disposed along a dielectric substrate to form a copper oxide layer. An oxide reducing metal is deposited onto the copper oxide layer. The copper oxide layer is reduced with at least a portion of the oxide reducing metal that oxidizes to form a metal oxide barrier layer. A dielectric cap is deposited over the metal oxide barrier layer.
    Type: Grant
    Filed: March 25, 2011
    Date of Patent: January 8, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventor: Errol Todd Ryan
  • Patent number: 8349207
    Abstract: A chemical mechanical polishing aqueous dispersion includes (A) colloidal silica having an average particle size calculated from the specific surface area determined by the BET method of 10 to 60 nm, (B) an organic acid having two or more carboxyl groups and one or more hydroxyl groups in one molecule, and (C) a quaternary ammonium compound shown by the following general formula (1), wherein R1 to R4 individually represent hydrocarbon groups, and M? represents an anion, the chemical mechanical polishing aqueous dispersion having a pH of 3 to 5.
    Type: Grant
    Filed: February 20, 2008
    Date of Patent: January 8, 2013
    Assignee: JSR Corporation
    Inventors: Taichi Matsumoto, Tomikazu Ueno, Michiaki Andou
  • Publication number: 20130005149
    Abstract: A chemical-mechanical polishing composition comprising: (a) at least one type of abrasive particles; (b) at least two oxidizing agents; (c) at least one pH adjusting agent; and (d) deionized water; (e) optionally comprising at least one antioxidant, and a method for the chemical-mechanical planarization of a substrate containing at least one copper layer, at least one ruthenium layer, and at least one tantalum layer comprising the steps of (1) providing the said chemical-mechanical polishing composition; (2) contacting the substrate surface to be polished with the chemical-mechanical polishing composition and a polishing pad; and (3) chemically and mechanically polishing the substrate surface by way of moving the polishing pad relative to the substrate.
    Type: Application
    Filed: January 19, 2011
    Publication date: January 3, 2013
    Applicant: BASF SE
    Inventors: Yuzhuo Li, Ke Wang
  • Patent number: 8343873
    Abstract: A method for producing a semiconductor wafer includes a number of steps in order including a bilateral material-removing process followed by rounding off an edge of the wafer and grinding front and back sides of the wafer by holding one side and grinding the other. The front and back arc then polished with a polishing cloth including bound abrasives and subsequently treated with an etching medium to carry out a material removal of no more than 1 ?m on each side. The front side is then polished using a polishing cloth including bound abrasives and the back side is simultaneously polished using a polishing cloth free of abrasives while a polish with abrasives is provided. The edge is then polished followed by polishing the back with a polishing cloth including bound abrasives and simultaneously polishing the front with a cloth free of abrasives while a polish including abrasives is provided.
    Type: Grant
    Filed: August 11, 2010
    Date of Patent: January 1, 2013
    Assignee: Siltronic AG
    Inventor: Juergen Schwandner
  • Patent number: 8338300
    Abstract: Provided may be a slurry composition for chemical mechanical polishing (CMP) and a CMP method using the same. For example, the slurry composition may include a first polishing inhibitor including at least one of PO43? or HPO42? and a second polishing inhibitor, which may be a C2-C10 hydrocarbon compound having —SO3H or —OSO3H. By using the slurry composition for CMP and a CMP method using the same, increased selectivity to SiN may be obtained.
    Type: Grant
    Filed: July 31, 2008
    Date of Patent: December 25, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-won Lee, Chang-ki Hong, Sang-yeob Han
  • Patent number: 8338302
    Abstract: Semiconductor wafer provided with a strain-relaxed layer of Si1-xGex, are polished in a first step of mechanical machining of the Si1-xGex layer of the semiconductor wafer in a polishing machine using a polishing pad containing fixedly bonded abrasive materials having a particle size of 0.55 ?m or less, and also a second step of a chemomechanical machining of the previously mechanically machined Si1-xGex layer of the semiconductor wafer using a polishing pad and with supply of a polishing agent slurry containing abrasive materials.
    Type: Grant
    Filed: November 2, 2009
    Date of Patent: December 25, 2012
    Assignee: Siltronic AG
    Inventors: Juergen Schwandner, Roland Koppert
  • Patent number: 8338303
    Abstract: A polishing liquid for a chemical mechanical polishing of a semiconductor device includes (a) a carboxylic acid compound having one or more carboxy groups, (b) colloidal silica particles having a ? potential of ?10 mV to ?35 mV when used in the polishing liquid, (c) a benzotriazole derivative, (d) an anionic surfactant, and (e) an oxidizing agent, and the polishing liquid has a pH of from 5.0 to 8.0.
    Type: Grant
    Filed: December 16, 2009
    Date of Patent: December 25, 2012
    Assignee: FUJIFILM Corporation
    Inventor: Tetsuya Kamimura
  • Patent number: 8337715
    Abstract: A CMP slurry for metallic film is provided, which includes water, 0.01 to 0.3 wt %, based on a total quantity of the slurry, of polyvinylpyrrolidone having a weight average molecular weight of not less than 20,000, an oxidizing agent, a protective film-forming agent containing a first complexing agent for forming a water-insoluble complex and a second complexing agent for forming a water-soluble complex, and colloidal silica having a primary particle diameter ranging from 5 to 50 nm.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: December 25, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Gaku Minamihaba, Dai Fukushima, Nobuyuki Kurashima, Susumu Yamamoto, Hiroyuki Yano
  • Publication number: 20120322265
    Abstract: A poly opening polish process includes the following steps. A semi-finished semiconductor component is provided. The semi-finished semiconductor component includes a substrate, a gate disposed on the substrate, and a dielectric layer disposed on the substrate and covering the gate. A first polishing process is applied onto the dielectric layer. A second polishing process is applied to the gate. The second polishing process utilizes a wetting solution including a water soluble polymer surfactant, an alkaline compound and water. The poly opening polish process can effectively remove an oxide residue formed in the chemical mechanical polish, thereby improving the performance of the integrated circuit and reducing the production cost of the integrated circuit.
    Type: Application
    Filed: June 17, 2011
    Publication date: December 20, 2012
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Chun-Wei HSU, Po-Cheng Huang, Teng-Chun Tsai, Chia-Lin Hsu, Chih-Hsun Lin, Chang-Hung Kung, Chia-His Chen, Yen-Ming Chen
  • Patent number: 8334210
    Abstract: A method of manufacturing a semiconductor device, includes: (a) obtaining a surface of a polishing target, wherein an insulating film and a metal film are exposed; and (b) polishing the surface having the exposed insulating film and the exposed metal film. The step (b) includes; (b1) polishing the surface in a condition with high frictional force, and (b2) polishing the surface in a condition with usual frictional force lower than the high frictional force after the step (b1).
    Type: Grant
    Filed: August 29, 2007
    Date of Patent: December 18, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Masafumi Shiratani, Tomotake Morita
  • Patent number: 8334190
    Abstract: A one-step CMP process for polishing three or more layer film stacks on a wafer having a multilayer film stack thereon including a silicon nitride (SiNx) layer on its semiconductor surface, and a silicon oxide layer on the SiNx layer, wherein trench access vias extend through the silicon oxide layer and SiNx layer to trenches formed into the semiconductor surface, and wherein a polysilicon layer fills the trench access vias, fills the trenches, and is on the silicon oxide layer. CMP polishes the multilayer film stack with a slurry including slurry particles including at least one of silica and ceria. The CMP provides a removal rate (RR) for the polysilicon layer > a RR for the silicon oxide layer > a RR for the SiNx layer. The CMP process is continued to remove the polysilicon layer, silicon oxide layer and a portion of the SiNx layer to stop on the SiNx layer. Optical endpointing during CMP can provide a predetermined remaining thickness range for the SiNx layer.
    Type: Grant
    Filed: May 7, 2010
    Date of Patent: December 18, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Eugene C. Davis, Binghua Hu, Sopa Chevacharoenkul, Prakash D. Dev
  • Publication number: 20120315764
    Abstract: A method of polishing copper wiring surfaces of in ultra large scale integrated circuit, the method including: a) preparing a polishing solution including between 35 and 80 w. % of a nano SiO2 abrasive, between 12 and 60 w. % of deionized water, between 1 and 3 w. % of an oxidant, between 1 and 4 w. % of an active agent, and between 0.5 and 1.5 w. % of a chelating agent; and b) polishing using the polishing solution under following conditions: between 2 and 5 kPa pressure; between 20 and 50° C.; between 120 and 250 mL/min slurry flow rate; and at between 30 and 60 rpm/min rotational speed.
    Type: Application
    Filed: August 22, 2012
    Publication date: December 13, 2012
    Inventors: Yuling LIU, Xiaoyan LIU, Jun TIAN
  • Publication number: 20120315763
    Abstract: An object of the present invention is to provide a polishing liquid for CMP with which polishing scratches can be reduced and a sufficiently high polishing rate can be obtained in a CMP step for an ILD film, aggregation of an abrasive grain is difficult to occur, and high flatness is obtained, and provide a polishing method using the same. The polishing liquid for CMP according to the present invention is a polishing liquid for CMP containing an abrasive grain, an additive, and water, wherein the abrasive grain comprises a cerium-based particle, and the additive comprises a 4-pyrone-based compound and at least one of a nonionic surfactant or a cationic surfactant: [wherein X11, X12, and X13 each independently represent a hydrogen atom or a monovalent substituent.
    Type: Application
    Filed: December 24, 2010
    Publication date: December 13, 2012
    Applicant: HITACHI CHEMICAL COMPANY, LTD.
    Inventors: Masayuki Hanano, Eiichi Satou, Munehiro Oota, Kanshi Chinone
  • Patent number: 8329123
    Abstract: The present invention relates to a method of preparing a cerium oxide powder for a CMP slurry and a method of preparing a CMP slurry using the same, and more particularly, to a method of preparing a cerium oxide powder for a CMP slurry and a method of preparing a CMP slurry using the same in which the specific surface area of the powder is increased by preparing a cerium precursor, and then decomposing and calcinating the prepared cerium precursor. The pore distribution is controlled to increase the chemical contact area between a polished film and a polishing material, thereby reducing polishing time while the physical strength of powder is decreased, which remarkably reduces scratches on a polished film.
    Type: Grant
    Filed: November 30, 2010
    Date of Patent: December 11, 2012
    Assignee: LG Chem. Ltd.
    Inventors: Myoung-hwan Oh, Jun-seok Nho, Jang-yul Kim, Jong-pil Kim, Seung-beom Cho, Min-Jin Ko
  • Patent number: 8324004
    Abstract: A GaN based III-V nitride semiconductor light-emitting device and a method for fabricating the same are provided. In the GaN based III-V nitride semiconductor light-emitting device including first and second electrodes arranged facing opposite directions or the same direction with a high-resistant substrate therebetween and material layers for light emission or lasing, the second electrode directly contacts a region of the outmost material layer exposed through an etched region of the high-resistant substrate. A thermal conductive layer may be formed on the bottom of the high-resistant substrate to cover the exposed region of the outmost material layer.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: December 4, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Joon-seop Kwak, Kyo-yeol Lee, Jae-hee Cho, Su-hee Chae
  • Publication number: 20120299158
    Abstract: The CMP polishing liquid of the invention is used by mixing a first solution and a second solution, the first solution comprises cerium-based abrasive grains, a dispersant and water, the second solution comprises a polyacrylic acid compound, a surfactant, a pH regulator, a phosphoric acid compound and water, the pH of the second solution is 6.5 or higher, and the first solution and second solution are mixed so that the phosphoric acid compound content is within a prescribed range. The CMP polishing liquid of the invention comprises cerium-based abrasive grains, a dispersant, a polyacrylic acid compound, a surfactant, a pH regulator, a phosphoric acid compound and water, with the phosphoric acid compound content being within a prescribed range.
    Type: Application
    Filed: December 10, 2010
    Publication date: November 29, 2012
    Applicant: HITACHI CHEMICAL COMPANY, LTD.
    Inventors: Takashi Shinoda, Kazuhiro Enomoto, Toshiaki Akutsu
  • Patent number: 8317888
    Abstract: Suspensions of cerium oxide particles in which the particles (secondary particles) have an average size not exceeding 200 nm, such secondary particles being comprised of primary particles having an average size not exceeding 100 nm with a standard deviation having a value not exceeding 30% of the value of this average size, are prepared from a solution of a cerium-III salt, including cerium IV or hydrogen peroxide, which is contacted with a base in the presence of nitrate ions and in an inert atmosphere; the medium thus obtained is subjected to a thermal processing in an inert atmosphere, then acidified and scrubbed and the powder is obtained by drying and calcining of the suspension, which suspension and powder are useful for polishing applications.
    Type: Grant
    Filed: October 4, 2007
    Date of Patent: November 27, 2012
    Assignee: Rhodia Operations
    Inventor: Guillaume Criniere
  • Patent number: 8314031
    Abstract: A planarization process for a pre-damascene structure is described, wherein the pre-damascene structure includes a metal hard mask that is disposed on a first material layer with a damascene opening therein and a second material layer that fills the damascene opening and covers the metal hard mask. A first CMP step is conducted using a first slurry to remove the second material layer outside the damascene opening. A second CMP step is conducted using a second slurry to remove the metal hard mask.
    Type: Grant
    Filed: March 18, 2010
    Date of Patent: November 20, 2012
    Assignee: United Microelectronics Corp.
    Inventor: Chia-Lin Hsu
  • Patent number: 8314030
    Abstract: A method for fabricating a semiconductor device through a chemical mechanical polishing (CMP) process is provided. The CMP process is performed by using a slurry. The semiconductor device fabrication method can ensure the reliability and economical efficiency of the device by performing a CMP process using a CMP slurry having a high polishing selectivity with respect to a target surface, an anti-scratch characteristic, and a high global planarization characteristic.
    Type: Grant
    Filed: June 23, 2009
    Date of Patent: November 20, 2012
    Assignee: Hynix Semiconductor, Inc.
    Inventors: Jum-Yong Park, Noh-Jung Kwak, Yong-Soo Choi, Cheol-Hwi Ryu
  • Patent number: 8314028
    Abstract: In a slurry composition and a method of polishing a layer using the slurry composition, the slurry composition includes from about 3 to 20 percent by weight of an abrasive, from about 0.1 to 3 percent by weight of an ionic surfactant, from about 0.01 to 0.1 percent by weight of a nonionic surfactant, from about 0.01 to 1 percent by weight of a polish accelerating agent including an amino acid compound, and a remainder of an aqueous solution including a basic pH-controlling agent and water. The slurry composition including the nonionic surfactant and the polish accelerating agent may be used for speedily polishing a stepped upper portion of a silicon oxide layer, and may also enable a lower portion of the silicon oxide layer to function as a polish stop layer.
    Type: Grant
    Filed: September 11, 2007
    Date of Patent: November 20, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Gi-Sik Hong, Dong-Jun Lee, Nam-Soo Kim, Kyoung-Moon Kang
  • Patent number: 8309466
    Abstract: A polishing pad has an excellent polishing rate and is superior in longevity without generating center slow. A method of manufacturing a semiconductor device with the polishing pad is also provided. The polishing pad has a polishing layer consisting of a polyurethane foam having fine cells, wherein a high-molecular-weight polyol component that is a starting component of the polyurethane foam contains a hydrophobic high-molecular-weight polyol A having a number-average molecular weight of 550 to 800 and a hydrophobic high-molecular-weight polyol B having a number-average molecular weight of 950 to 1300 in an A/B ratio of from 10/90 to 50/50 by weight.
    Type: Grant
    Filed: August 22, 2006
    Date of Patent: November 13, 2012
    Assignee: Toyo Tire & Rubber Co., Ltd.
    Inventors: Kazuyuki Ogawa, Tetsuo Shimomura, Yoshiyuki Nakai, Masahiko Nakamori, Takatoshi Yamada
  • Patent number: 8309464
    Abstract: The present disclosure generally relates to the manufacture of silicon wafers, and more particularly to edge etching apparatus and methods for etching the edge of a silicon wafer.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: November 13, 2012
    Assignee: MEMC Electronic Materials, Inc.
    Inventors: Henry F. Erk, Peter D. Albrecht, Eugene R. Hollander, Thomas E. Doane, Judith A. Schmidt, Roland R. Vandamme, Guoqiang (David) Zhang
  • Patent number: 8309468
    Abstract: A method for chemical mechanical polishing of a substrate comprising a germanium-antimony-tellurium chalcogenide phase change alloy (GST) using a chemical mechanical polishing composition consisting essentially of, as initial components: water; an abrasive; a material selected from ethylene diamine tetra acetic acid and salts thereof; and an oxidizing agent; wherein the chemical mechanical polishing composition facilitates a high GST removal rate with low defectivity.
    Type: Grant
    Filed: April 28, 2011
    Date of Patent: November 13, 2012
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Jaeseok Lee, Yi Guo, Kancharla-Arun Kumar Reddy, Guangyun Zhang
  • Patent number: 8309467
    Abstract: A manufacturing method of a device is provided. In the manufacturing method, a substrate is provided. The substrate has a plurality of patterns and a plurality of openings formed thereon, and the openings are located among the patterns. A first liquid supporting layer is formed on the patterns, and the openings are filled with the first liquid supporting layer. The first liquid supporting layer is transformed into a first solid supporting layer. The first solid supporting layer includes a plurality of supporting elements formed in the openings, and the supporting elements are formed among the patterns. A treatment process is performed on the patterns. The first solid supporting layer that includes the supporting elements is transformed into a second liquid supporting layer. The second liquid supporting layer is removed.
    Type: Grant
    Filed: December 8, 2010
    Date of Patent: November 13, 2012
    Assignee: Nanya Technology Corporation
    Inventors: Chien-Mao Liao, Yi-Nan Chen
  • Publication number: 20120282775
    Abstract: Disclosed herein is a CMP slurry composition. The CMP slurry composition includes cerium oxide particles, an adsorbent for adsorbing the cerium oxide particles to a polishing pad, an adsorption adjusting agent for adjusting adsorption performance of the adsorbent, and a pH adjusting agent. The CMP slurry composition may improve polishing efficiency of a patterned oxide layer and lifespan of a diamond disc conditioner.
    Type: Application
    Filed: June 27, 2012
    Publication date: November 8, 2012
    Applicant: CHEIL INDUSTRIES INC.
    Inventors: Tai Young KIM, Byoung Ho CHOI, Chang Ki HONG, Hyung Soo KIM
  • Patent number: 8304344
    Abstract: A chemical mechanical polishing process including a single copper removal CMP slurry formulation for planarization of a microelectronic device structure preferably having copper deposited thereon. The process includes the bulk removal of a copper layer using a first CMP slurry formulation having oxidizing agent, passivating agent, abrasive and solvent, and the soft polishing and over-polishing of the microelectronic device structure using a formulation including the first CMP slurry formulation and at least one additional additive. The CMP process described herein provides a high copper removal rate, a comparatively low barrier material removal rate, appropriate material selectivity ranges to minimize copper dishing at the onset of barrier material exposure, and good planarization efficiency.
    Type: Grant
    Filed: February 5, 2008
    Date of Patent: November 6, 2012
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Karl E. Boggs, Michael S. Darsillo, Peter Wrschka, James Welch
  • Patent number: 8304346
    Abstract: The present invention relates to a polishing composition used in a step of polishing until a barrier layer adjacent to a copper layer is exposed, in a pattern formation of polishing the copper layer provided on an insulating layer through the barrier layer thereby alternately forming a copper embedded wiring and the insulating layer, the polishing composition including: an alicyclic resin acid; a colloidal silica in which a content thereof in the polishing composition is from 0.1 to 1.5% by mass, an average primary particle size thereof is from 10 to 40 nm, an average secondary particle size thereof is from 30 to 80 nm, and (the average secondary particle size×the content) is in a range of from 10 to 40; and tetramethylammonium ion.
    Type: Grant
    Filed: May 5, 2011
    Date of Patent: November 6, 2012
    Assignee: Asahi Glass Company, Limited
    Inventors: Iori Yoshida, Hiroyuki Kamiya
  • Patent number: 8304345
    Abstract: The invention relates to improvements in the polishing of a layer of germanium by a method which includes a first step of chemical-mechanical polishing of the surface of the germanium layer that is carried out with a first polishing solution having an acidic pH. The first polishing step is then followed by a second step of chemical-mechanical polishing of the surface of the germanium layer carried out with a second polishing solution having an alkaline pH. The polished heteroepitaxial germanium layer has a surface microroughness of less than 0.1 nm RMS and a surface macroroughness corresponding to a surface haze level of less than 0.5 ppm.
    Type: Grant
    Filed: June 9, 2009
    Date of Patent: November 6, 2012
    Assignee: Soitec
    Inventors: Muriel Martinez, Pierre Bey
  • Publication number: 20120276742
    Abstract: A method for chemical mechanical polishing of a substrate comprising a germanium-antimony-tellurium chalcogenide phase change alloy (GST) using a chemical mechanical polishing composition consisting essentially of, as initial components: water; an abrasive; a material selected from ethylene diamine tetra acetic acid and salts thereof; and an oxidizing agent; wherein the chemical mechanical polishing composition facilitates a high GST removal rate with low defectivity.
    Type: Application
    Filed: April 28, 2011
    Publication date: November 1, 2012
    Inventors: Jaeseok Lee, Yi Guo, Kancharla-Arun Kumar Reddy, Guangyun Zhang
  • Publication number: 20120270401
    Abstract: A chemical mechanical polishing slurry for polishing a copper layer without excessively or destructively polishing a barrier layer beneath the copper layer is disclosed and includes an acid, a surfactant, and a silica sol having silica polishing particles that are surface modified with a surface charge modifier and that have potassium ions attached thereto. A method for preparing the chemical mechanical polishing slurry and a chemical mechanical polishing method using the chemical mechanical polishing slurry are also disclosed.
    Type: Application
    Filed: April 26, 2012
    Publication date: October 25, 2012
    Inventors: Hui-Fang HOU, Wen Cheng LIU, Yen-Liang CHEN, Jui-Ching CHEN
  • Publication number: 20120264304
    Abstract: The present invention provides an acidic aqueous polishing composition suitable for polishing a silicon nitride-containing substrate in a chemical-mechanical polishing (CMP) process. The composition, at point of use, comprises about 0.01 to about 2 percent by weight of a particulate calcined ceria abrasive, about 10 to about 1000 ppm of at least one cationic polymer, optionally, about 10 to about 2000 ppm of a polyoxyalkylene polymer; and an aqueous carrier therefor. The at least one cationic polymer is selected from a poly(vinylpyridine) polymer and a combination of a poly(vinylpyridine) polymer and a quaternary ammonium-substituted polymer. Methods of polishing substrates and of selectively removing silicon nitride from a substrate in preference to removal of polysilicon using the compositions are also provided.
    Type: Application
    Filed: April 15, 2011
    Publication date: October 18, 2012
    Inventor: William WARD
  • Publication number: 20120264303
    Abstract: A metal polishing slurry includes a chemical solution and abrasives characterized by a bimodal or other multimodal distribution of particle sizes or a prevalence of two or more particle sizes or ranges of particle sizes. A method and system for using the slurry in a CMP polishing operation, are also provided.
    Type: Application
    Filed: April 15, 2011
    Publication date: October 18, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Kei-Wei CHEN, Kuo-Hsiu WEI, Shih-Chieh CHANG, Ying-Lang WANG
  • Publication number: 20120264302
    Abstract: A chemical mechanical polishing (CMP) process includes steps of providing a substrate, performing a first polishing step to the substrate with an acidic slurry, and performing a second polishing step to the substrate with a basic slurry after the first polishing step.
    Type: Application
    Filed: April 13, 2011
    Publication date: October 18, 2012
    Inventors: Chun-Wei Hsu, Teng-Chun Tsai, Chia-Lin Hsu, Po-Cheng Huang, Chia-Hsi Chen, Yen-Ming Chen, Chih-Hsun Lin
  • Patent number: 8288283
    Abstract: A process of forming an integrated circuit using a palladium CMP operation in which 25 to 125 ppm aluminum is added to the CMP slurry, allowing a palladium removal rate of at least 80 nanometers per minute at a polish pad pressure less than 9 psi and a surface speed between 1.9 and 2.2 meters per second. The palladium CMP operation may be applied to form a palladium bond pad cap after which an external bond element is formed on the palladium bond pad cap. Alternatively, the palladium CMP operation may be applied to form a palladium interconnect conductor in a first dielectric layer.
    Type: Grant
    Filed: November 30, 2011
    Date of Patent: October 16, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Mona M. Eissa, Brian E. Zinn
  • Patent number: 8288280
    Abstract: A conductor removal process is described, which is applied to a substrate that has thereon a plurality of patterns and a blanket conductor layer covering the patterns. An upper portion of the blanket conductor layer entirely over the patterns is oxidized to form a dielectric layer. A CMP step is performed to remove the dielectric layer and a portion of the remaining conductor layer in turn and thereby expose the patterns.
    Type: Grant
    Filed: July 19, 2007
    Date of Patent: October 16, 2012
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Yung-Tai Hung, Chin-Tsan Yeh, Chin-Ta Su, Ling-Wu Yang, Tung-Han Chuang
  • Publication number: 20120258598
    Abstract: A chemical mechanical polishing composition, comprising, as initial components: water; 0.1 to 20 wt % abrasive having an average particle size of 5 to 50 nm; and, 0.001 to 1 wt % of an adamantyl substance according to formula (II): wherein A is selected from N and P; wherein each R8 is independently selected from hydrogen, a saturated or unsaturated C1-15 alkyl group, C6-15 aryl group, C6-15 aralkyl group, C6-15 alkaryl group; and, wherein the anion in formula (II) can be any anion that balances the positive charge on the cation in formula (II).
    Type: Application
    Filed: June 12, 2012
    Publication date: October 11, 2012
    Applicant: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Yi Guo, Zhendong Liu, Kancharla-Arun Kumar Reddy, Guangyun Zhang
  • Publication number: 20120258597
    Abstract: According to one embodiment, the method of manufacturing a semiconductor device includes contacting a film formed on a semiconductor substrate with a rotating polishing pad which is supported on a turntable, and feeding polishing foam to a region of the polishing pad with which the film is contacted, thereby polishing the film. The polishing foam is obtained by turning the aqueous dispersion into a foamy body. The aqueous dispersion includes 0.01-20% by mass of abrasive grain and 0.01-1% by mass of foam forming and retaining agent, all based on a total mass of the aqueous dispersion.
    Type: Application
    Filed: September 19, 2011
    Publication date: October 11, 2012
    Inventors: Gaku Minamihaba, Yukiteru Matsui