Utilizing Particulate Abradant Patents (Class 438/693)
  • Patent number: 8283252
    Abstract: A method of manufacturing a semiconductor wafer, including a step of differentiating the glossiness of a front surface from that of a rear surface of the wafer by holding the semiconductor wafer in a wafer holding hole formed in a carrier plate, and simultaneously polishing a front and back surface of said semiconductor wafer by driving said carrier plate to make a circular motion associated with no rotation on its own axis within a plane parallel with a surface of said carrier plate between a pair of polishing members disposed to face to each other, by using an abrasive body with a semiconductor wafer sink rate different in polishing from that of an abrasive body for one of a polishing member on an upper surface plate and a polishing member on a lower surface plate so as to simultaneously polish both the front and rear surfaces of the semiconductor wafer, or differentiating by differentiating the rotating speed of the upper surface plate from that of the lower surface plate.
    Type: Grant
    Filed: September 14, 2009
    Date of Patent: October 9, 2012
    Assignee: Sumitomo Mitsubishi Silicon Corporation
    Inventors: Toru Taniguchi, Etsuro Morita, Satoshi Matagawa, Seiji Harada, Isoroku Ono, Mitsuhiro Endo, Fumihiko Yoshida
  • Publication number: 20120252213
    Abstract: A method of chemically-mechanically polishing a substrate having a Group III-nitride surface includes providing a chemical-mechanical polishing slurry composition. The slurry composition includes a slurry solution including a liquid carrier and an oxidizer including a transition metal or a per-based compound. The slurry solution includes at least one component that reacts with the Group III-nitride surface to form a softened Group III-nitride surface. The Group III-nitride comprising surface is contacted with the slurry composition by a pad to form the softened Group III-nitride surface. The pad is moved relative to the softened Group III-nitride surface, wherein at least a portion of the softened Group III-nitride surface is removed.
    Type: Application
    Filed: March 28, 2011
    Publication date: October 4, 2012
    Applicants: UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INC., SINMAT, INC.
    Inventors: Rajiv K. Singh, Arul Chakkaravarthi Arjunan, Deepika Singh, Abhudaya Mishra
  • Publication number: 20120252214
    Abstract: A polishing liquid is provided with which a polishing rate relative to a conductive metal wiring typically represented by a copper wiring on a substrate having a barrier layer containing manganese and/or a manganese alloy and an insulating layer on the surface (particularly, copper oxide formed at the boundary) is decreased and with which less step height between the conductive metal wiring and the insulating layer is formed, and a polishing method using the polishing liquid is also provided. The polishing liquid includes: colloidal silica particles exhibiting a positive ? potential at the surface thereof; a corrosion inhibiting agent; and an oxidizing agent, in which the polishing liquid is used in a chemical mechanical polishing process for a semiconductor device having, on a surface thereof, a barrier layer containing manganese and/or a manganese alloy, a conductive metal wiring, and an insulating layer.
    Type: Application
    Filed: June 14, 2012
    Publication date: October 4, 2012
    Applicant: FUJIFILM CORPORATION
    Inventor: Tetsuya KAMIMURA
  • Patent number: 8277671
    Abstract: A polishing mixture and related method of polishing a material wafer surface, such as silicon carbide, are disclosed. The polishing mixture comprises; an abrasive and an oxidizer mixed in an acidic solution. Alumina may be used as the abrasive and the polishing mixture may have a pH less than or equal to seven (7).
    Type: Grant
    Filed: September 18, 2007
    Date of Patent: October 2, 2012
    Assignee: The Penn State Research Foundation
    Inventors: William J. Everson, David Snyder, Richard Gamble, Volker D. Heydemann
  • Patent number: 8273142
    Abstract: The invention relates to a chemical-mechanical polishing composition comprising silica, one or more organic carboxylic acids or salts thereof, one or more polysaccharides, one or more bases, optionally one or more surfactants and/or polymers, optionally one or more reducing agents, optionally one or more biocides, and water, wherein the polishing composition has an alkaline pH. The polishing composition exhibits a high removal rate and low particle defects and low haze. The invention further relates to a method of chemically-mechanically polishing a substrate using the polishing composition described herein.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: September 25, 2012
    Assignee: Cabot Microelectronics Corporation
    Inventors: Michael White, Richard Romine, Brian Reiss, Jeffrey Gilliland, Lamon Jones
  • Publication number: 20120238094
    Abstract: The CMP polishing liquid of the invention comprises a metal salt containing at least one type of metal selected from the group consisting of metals of Groups 8, 11, 12 and 13, 1,2,4-triazole, a phosphorus acid, an oxidizing agent and abrasive grains. The polishing method of the invention comprises a step of polishing at least a palladium layer with an abrasive cloth while supplying a CMP polishing liquid between the palladium layer of a substrate having the palladium layer and the abrasive cloth, wherein the CMP polishing liquid comprises a metal salt containing at least one type of metal selected from the group consisting of metals of Groups 8, 11, 12 and 13, 1,2,4-triazole, a phosphorus acid, an oxidizing agent and abrasive grains.
    Type: Application
    Filed: January 4, 2011
    Publication date: September 20, 2012
    Applicant: Hitachi Chemical Company, Ltd.
    Inventors: Hisataka Minami, Jin Amanokura, Sou Anzai
  • Publication number: 20120225556
    Abstract: A chemical mechanical polishing composition useful for chemical mechanical polishing a semiconductor wafer containing an interconnect metal is provided, comprising, as initial components: water; an azole inhibitor; an alkali metal organic surfactant; a hydrotrope; a phosphorus containing agent; optionally, a non-saccharide water soluble polymer; optionally, a water soluble acid compound of formula I, wherein R is selected from a hydrogen and a C1-5 alkyl group, and wherein x is 1 or 2; optionally, a complexing agent; optionally, an oxidizer; optionally, an organic solvent; and, optionally, an abrasive.
    Type: Application
    Filed: March 3, 2011
    Publication date: September 6, 2012
    Applicant: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Hamed Lakrout, Jinjie Shi, Joseph Letizia, Xu Li, Thomas H. Kalantar, Francis Kelley, J. Keith Harris, Christopher J. Tucker
  • Patent number: 8247328
    Abstract: The invention provides a method of chemically-mechanically polishing a substrate comprising at least one layer of single crystal silicon carbide. The method utilizes a chemical-mechanical polishing composition comprising a liquid carrier, an abrasive, a catalyst comprising a transition metal composition, and an oxidizing agent.
    Type: Grant
    Filed: May 4, 2009
    Date of Patent: August 21, 2012
    Assignee: Cabot Microelectronics Corporation
    Inventors: Michael White, Lamon Jones, Jeffrey Gilliland
  • Patent number: 8247327
    Abstract: The invention provides chemical-mechanical polishing (CMP) compositions and methods for polishing a silicon-containing substrate. A method of the invention comprises the steps of contacting a silicon-containing substrate with a polishing pad and an aqueous CMP composition, and causing relative motion between the polishing pad and the substrate while maintaining a portion of the CMP composition in contact with the surface of the substrate to abrade at least a portion of the substrate. The CMP composition comprises a ceria abrasive, a polishing additive bearing a functional group with a pKa of about 4 to about 9, a nonionic surfactant with an hydrophilic portion and a lipophilic portion wherein the hydrophilic portion has a number average molecular weight of about 500 g/mol or higher, and an aqueous carrier, wherein the pH of the composition is 7 or less. The method reduces defects on the wafers, particularly local areas of high removal.
    Type: Grant
    Filed: July 30, 2008
    Date of Patent: August 21, 2012
    Assignee: Cabot Microelectronics Corporation
    Inventors: Francesco De Rege Thesauro, Zhan Chen
  • Patent number: 8247326
    Abstract: The invention is directed to a method of chemically-mechanically polishing a surface of a substrate, comprising contacting a surface of a substrate comprising nickel-phosphorous with a chemical-mechanical polishing composition comprising wet-process silica, an agent that oxidizes nickel-phosphorous, and an aminopolycarboxylic acid, wherein the polishing composition has a pH of about 1 to about 5, and abrading at least a portion of the nickel-phosphorous to polish the substrate.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: August 21, 2012
    Assignee: Cabot Microelectronics Corporation
    Inventors: Venkataramanan Balasubramaniam, Ping-Ha Yeung
  • Patent number: 8241516
    Abstract: A method for manufacturing a substrate for a magnetic disk, including the steps of (a) polishing a substrate with a polishing composition A containing alumina abrasives having an average particle size of from 0.05 to 0.5 ?m, and an oxidizing agent, and (b) polishing the substrate with a polishing composition B containing silica particles having an average particle size of from 0.005 to 0.1 ?m; a substrate for a magnetic disk, obtainable by the method for manufacturing a substrate for a magnetic disk; and a substrate for a magnetic disk having the following surface properties of a long-wavelength waviness of 0.05 nm or more and 0.3 nm or less, and an AFM surface roughness of 0.03 nm or more and 0.2 nm or less. The substrate for a magnetic disk may be suitably used in the manufacture of a hard disk having a high recording density. Especially, a hard disk having a recording density of 50 G bits or more per square inch may be industrially manufactured.
    Type: Grant
    Filed: May 16, 2008
    Date of Patent: August 14, 2012
    Assignee: Kao Corporation
    Inventors: Shigeo Fujii, Hiroaki Kitayama
  • Patent number: 8242020
    Abstract: A method for producing a semiconductor wafer. The method includes placing the semiconductor wafer in a cutout in a carrier. Both sides of the semiconductor wafer are polished between an upper and a lower polishing plate with a polishing agent until the thickness of the center of the semiconductor wafer is less than the thickness of the carrier and from 10 ?m to 30 ?m of semiconductor wafer material is removed. The polishing agent contains 0.1 to 0.4% by weight of SiO2 and 0.1 to 0.9% by weight of an alkaline component.
    Type: Grant
    Filed: August 26, 2009
    Date of Patent: August 14, 2012
    Assignee: Siltronic AG
    Inventors: Klaus Roettger, Gerhard Heier, Alexander Heilmaier
  • Patent number: 8236694
    Abstract: The present invention relates to a method for manufacturing an acceleration sensor. In the method, thin SOI-wafer structures are used, in which grooves are etched, the walls of which are oxidized. A thick layer of electrode material, covering all other material, is grown on top of the structures, after which the surface is ground and polished chemo-mechanically, thin release holes are etched in the structure, structural patterns are formed, and finally etching using a hydrofluoric acid solution is performed to release the structures intended to move and to open a capacitive gap.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: August 7, 2012
    Assignee: Valtion Teknillinen Tutkimuskeskus
    Inventors: Jyrki Kiihamäki, Hannu Kattelus
  • Patent number: 8236695
    Abstract: A method of passivating a CMP composition by dilution and determining the relationship between the extent of dilution and the static etch rate of copper. Such relationship may be used to control the CMP composition during the CMP polish to minimize the occurrence of dishing or other adverse planarization deficiencies in the polished copper, even in the presence of substantial levels of copper ions in the CMP composition and at the copper/CMP composition interface.
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: August 7, 2012
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Jun Liu, Mackenzie King, Michael S. Darsillo, Karl E. Boggs, Jeffrey F. Roeder, Peter Wrschka, Thomas H. Baum
  • Publication number: 20120196443
    Abstract: A Chemical Mechanical Polishing (CMP) method includes providing a semiconductor substrate having an overlying dielectric layer, performing a first grinding on the dielectric layer, wherein the first grinding produces organic residues on a surface of the dielectric layer after the first grinding. The method further includes performing a second grinding on the dielectric layer by using an alkaline solution to remove the organic residues on the surface of the dielectric layer. The organic residues remaining on the surface of the dielectric layer are removed by using the alkaline solution after the first grinding process is performed. The method additionally includes cleaning a grinding pad and the substrate using deionized water.
    Type: Application
    Filed: October 12, 2011
    Publication date: August 2, 2012
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: WUFENG DENG
  • Publication number: 20120196442
    Abstract: A chemical mechanical polishing method includes providing a semiconductor substrate having a dielectric layer formed thereon, wherein the dielectric layer includes vias and/or grooves, forming a stop layer on the dielectric layer and on sidewalls and bottoms of the vias and/or grooves; forming a metal layer on the stop layer, which completely fills the vias and/or grooves. The method further includes grinding the metal layer until the stop layer is exposed, removing a portion of the stop layer with a first grinding slurry, and removing the stop layer left over with a second grinding slurry until the dielectric layer is exposed, wherein a quantity and a diameter of oxide grinding particles in the second grinding slurry are smaller than those in the first grinding slurry. The method guarantees a removal rate that is equal to conventional art and prevents damage to the wafer so that the products thus made have an improved quality and performance.
    Type: Application
    Filed: September 23, 2011
    Publication date: August 2, 2012
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: WUFENG DENG
  • Patent number: 8232208
    Abstract: A chemical mechanical polishing composition, comprising, as initial components: water; 0.1 to 40 wt % abrasive having an average particle size of 5 to 150 nm; 0.001 to 1 wt % of an adamantyl substance according to formula (II); 0 to 1 wt % diquaternary substance according to formula (I); and, 0 to 1 wt % of a quaternary ammonium compound. Also, provided is a method for chemical mechanical polishing using the chemical mechanical polishing composition.
    Type: Grant
    Filed: June 15, 2010
    Date of Patent: July 31, 2012
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Yi Guo, Zhendong Liu, Kancharla-Arun Kumar Reddy, Guangyun Zhang
  • Publication number: 20120190201
    Abstract: Provided are a multi-selective polishing slurry composition and a semiconductor element production method using the same. A silicon film provided with element patterns is formed on the uppermost part of a substrate having a first region and a second region. The element pattern density on the first region is higher than the element pattern density on the second region. Formed in sequence on top of the element patterns are a first silicon oxide film, a silicon nitride film and a second silicon oxide film. The substrate is subjected to chemical-mechanical polishing until the silicon film is exposed, by using a polishing slurry composition containing a polishing agent, a silicon nitride film passivation agent and a silicon film passivation agent.
    Type: Application
    Filed: July 9, 2010
    Publication date: July 26, 2012
    Inventors: Jea-Gun Park, Un-Gyu Paik, Jin-Hyung Park, Hao Cui, Jong-Young Cho, Hee-Sub Hwang, Jae-Hyung Lim, Ye-Hwan Kim
  • Patent number: 8226849
    Abstract: Provided are a metal-polishing liquid that comprises an oxidizing agent, an oxidized-metal etchant, a protective film-forming agent, a dissolution promoter for the protective film-forming agent, and water; a method for producing it; and a polishing method of using it. Also provided are materials for the metal-polishing liquid, which include an oxidized-metal etchant, a protective film-forming agent, and a dissolution promoter for the protective film-forming agent.
    Type: Grant
    Filed: May 17, 2006
    Date of Patent: July 24, 2012
    Assignees: Hitachi, Ltd., Hitachi Chemical Company, Ltd.
    Inventors: Takeshi Uchida, Tetsuya Hoshino, Hiroki Terazaki, Yasuo Kamigata, Naoyuki Koyama, Yoshio Honma, Seiichi Kondoh
  • Patent number: 8222118
    Abstract: A method of relieving stress in a semiconductor wafer and providing a wafer backside surface finish capable of hiding cosmetic imperfections. Embodiments of the invention include creating a wafer backside surface which can be used for all dies on the semiconductor wafer intended for different product applications and be deposited with backside metallization (BSM) material. The method provides a rough texture on the wafer backside followed by isotropic etching of the wafer backside to recover the wafer strength as well as to preserve the rough texture of the wafer backside. After wafer backside metallization, the rough texture of the wafer backside hides cosmetic imperfections introduced by subsequent processes.
    Type: Grant
    Filed: December 15, 2008
    Date of Patent: July 17, 2012
    Assignee: Intel Corporation
    Inventors: Mark Dydyk, Arturo Urquiza, Charles Singleton, Tim McIntosh
  • Patent number: 8222145
    Abstract: A composition and associated method for chemical mechanical planarization of a metal-containing substrate afford low dishing levels in the polished substrate while simultaneously affording high metal removal rates. Suitable metal-containing substrates include tungsten- and copper-containing substrates. Components in the composition include a silatrane compound, an abrasive, and, optionally, a strong oxidizing agent, such as a per-compound.
    Type: Grant
    Filed: September 14, 2010
    Date of Patent: July 17, 2012
    Assignee: DuPont Air Products Nanomaterials, LLC
    Inventor: Xiaobo Shi
  • Patent number: 8211804
    Abstract: In a method of forming a hole, an insulation layer is formed on a substrate, and a preliminary hole exposing the substrate is formed through the insulation layer. A photosensitive layer pattern including an organic polymer is then formed on the substrate to fill the preliminary hole. An etching gas including hydrogen fluoride (HF) or fluorine (F2) is then provided onto the photosensitive layer pattern to etch the insulation layer so that width of the preliminary hole is increased.
    Type: Grant
    Filed: February 11, 2011
    Date of Patent: July 3, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyo-San Lee, Bo-Un Yoon, Kun-Tack Lee, Dae-Hyuk Kang, Seong-Ho Moon, So-Ra Han
  • Publication number: 20120164833
    Abstract: Afforded are a polishing agent, and a compound semiconductor manufacturing method and semiconductor device manufacturing method utilizing the agent, whereby the surface quality of compound semiconductor substrates can be favorably maintained, and high polishing rates can be sustained as well. The polishing agent is a polishing agent for Ga?In(1-?)As?P(1-?) (0???1; 0???1) compound semiconductors, and includes an alkali metal carbonate, an alkali metal organic salt, a chlorine-based oxidizer, and an alkali metal phosphate, wherein the sum of the concentrations of the alkali metal carbonate and the alkali metal organic salt is between 0.01 mol/L and 0.02 mol/L, inclusive. The compound semiconductor manufacturing method comprises a step of preparing a Ga?In(1-?)As?P(1-?) (0???1; 0???1) compound semiconductor, and a step of polishing the face of the compound semiconductor utilizing an aforedescribed polishing agent.
    Type: Application
    Filed: March 9, 2012
    Publication date: June 28, 2012
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Keiji Ishibashi, Masashi Futamura, Takayuki Nishiura
  • Publication number: 20120149198
    Abstract: A method for producing a semiconductor wafer includes a number of steps in order including a bilateral material-removing process followed by rounding off an edge of the wafer and grinding front and back sides of the wafer by holding one side and grinding the other. The front and back are then polished with a polishing cloth including bound abrasives and subsequently treated with an etching medium to carry out a material removal of no more than 1?m on each side. The front side is then polished using a polishing cloth including bound abrasives and the back side is simultaneously polished using a polishing cloth free of abrasives while a polish with abrasives is provided. The edge is then polished followed by polishing the back with a polishing cloth including bound abrasives and simultaneously polishing the front with a cloth free of abrasives while a polish including abrasives is provided.
    Type: Application
    Filed: August 11, 2010
    Publication date: June 14, 2012
    Applicant: SILTRONIC AG
    Inventor: Juergen Schwandner
  • Publication number: 20120142191
    Abstract: A CMP composition and associated method are provided that afford good corrosion protection and low defectivity levels both during and subsequent to CMP processing. This composition and method are useful in CMP (chemical mechanical planarization) processing in semiconductor manufacture involving removal of metal(s) and/or barrier layer material(s) and especially for CMP processing in low technology node applications.
    Type: Application
    Filed: June 7, 2011
    Publication date: June 7, 2012
    Applicant: DuPont Air Products NanoMaterials, LLC
    Inventors: Xiaobo Shi, Ronald Martin Pearlstein
  • Publication number: 20120129346
    Abstract: A polishing agent of the invention comprises tetravalent metal hydroxide particles, a cationized polyvinyl alcohol, at least one type of saccharide selected from the group consisting of an amino sugar, a derivative of the amino sugar, a polysaccharide containing an amino sugar and a derivative of the polysaccharide, and water. The method for polishing a substrate of the invention comprises a step of polishing the silicon oxide film 1 (film to be polished), formed on the silicon substrate 2 having the silicon oxide film 1, by relatively moving the silicon substrate 2 and a polishing platen, in a state that the silicon oxide film 1 is pressed against a polishing pad on the polishing platen, while supplying the polishing agent of the invention between the silicon oxide film 1 and the polishing pad.
    Type: Application
    Filed: September 14, 2010
    Publication date: May 24, 2012
    Inventors: Daisuke Ryuzaki, Takenori Narita, Yousuke Hoshi, Tomohiro Iwano
  • Patent number: 8182709
    Abstract: By creating a temperature profile across a polishing pad, a respective temperature profile may be obtained in a substrate to be polished, which may result in a respective varying removal rate across the substrate for a chemically reactive slurry material or for an electro-chemically activated polishing process. Hence, highly sensitive materials, such as material comprising low-k dielectrics, may be efficiently polished with a high degree of controllability.
    Type: Grant
    Filed: June 4, 2008
    Date of Patent: May 22, 2012
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jens Heinrich, Gerd Marxsen
  • Publication number: 20120100718
    Abstract: The CMP polishing liquid for polishing palladium of this invention comprises an organic solvent, 1,2,4-triazole, a phosphorus acid compound, an oxidizing agent and an abrasive. The substrate polishing method is a method for polishing a substrate with a polishing cloth while supplying a CMP polishing liquid between the substrate and the polishing cloth, wherein the substrate is a substrate with a palladium layer on the side facing the polishing cloth, and the CMP polishing liquid is a CMP polishing liquid comprising an organic solvent, 1,2,4-triazole, a phosphorus acid compound, an oxidizing agent and an abrasive.
    Type: Application
    Filed: February 5, 2010
    Publication date: April 26, 2012
    Applicant: Hitachi Chemical Company, Ltd.
    Inventors: Hisataka Minami, Ryouta Saisyo, Jin Amanokura, Yuuhei Okada, Hiroshi Ono
  • Patent number: 8163650
    Abstract: Disclosed is an adjuvant for use in simultaneous polishing of a cationically charged material and an anionically charged material, which forms an adsorption layer on the cationically charged material in order to increase polishing selectivity of the anionically charged material, wherein the adjuvant comprises a polyelectrolyte salt containing: (a) a mixture of a linear polyelectrolyte having a weight average molecular weight of 2,000Ëś50,000 with a graft type polyelectrolyte that has a weight average molecular weight of 1,000Ëś20,000 and comprises a backbone and a side chain; and (b) a basic material. CMP (chemical mechanical polishing) slurry comprising the above adjuvant and abrasive particles is also disclosed.
    Type: Grant
    Filed: December 6, 2006
    Date of Patent: April 24, 2012
    Assignee: LG Chem, Ltd.
    Inventors: Gi Ra Yi, Jong Pil Kim, Jung Hee Lee, Kwang Ik Moon, Chang Bum Ko, Soon Ho Jang, Seung Beom Cho, Young Jun Hong
  • Publication number: 20120094490
    Abstract: The present invention relates to a slurry for chemical mechanical polishing, comprising an abrasive; an oxidant; an organic acid; and a polymeric additive comprising polyolefin-polyalkyleneoxide copolymer, wherein the polyolefin-polyalkyleneoxide copolymer comprises a polyolefin repeat unit and two or more polyalkyleneoxide repeat units, and at least one polyalkyleneoxide repeat unit is branched.
    Type: Application
    Filed: April 22, 2010
    Publication date: April 19, 2012
    Applicant: LG CHEM. LTD
    Inventors: Eun-Mi Choi, Dong-Mok Shin, Seung-Beom Cho
  • Publication number: 20120094491
    Abstract: The invention relates to a CMP polishing liquid comprising a medium and silica particles as an abrasive grain dispersed into the medium, characterized in that: (A1) the silica particles have a silanol group density of 5.0/nm2 or less; (B1) a biaxial average primary particle diameter when arbitrary 20 silica particles are selected from an image obtained by scanning electron microscope observation is 25 to 55 nm; and (C1) an association degree of the silica particles is 1.1 or more. The invention provides a CMP polishing liquid which has the high barrier film polishing speed, the favorable abrasive grain dispersion stability, and the high interlayer dielectric polishing speed, and a polishing method producing semiconductor substrates or the like, that have excellent microfabrication, thin film formation, dimension accuracy, electric property and high reliability with low cost.
    Type: Application
    Filed: August 16, 2010
    Publication date: April 19, 2012
    Applicant: HITACHI CHEMICAL COMPANY, LTD.
    Inventors: Mamiko Kanamaru, Tomokazu Shimada, Takashi Shinoda
  • Publication number: 20120083122
    Abstract: A polishing method includes polishing, in a first polish, a wafer to remove overburden and planarize a top layer leaving a portion remaining on an underlying layer. A second polishing step includes two phases. In a first phase, the top layer is removed and the underlying layer is exposed, with a top layer to underlying layer selectivity of between about 1:1 to about 2:1 to provide a planar topography. In a second phase, residual portions of the top layer are removed from a top of the underlying layer to ensure complete exposure of an underlying layer surface.
    Type: Application
    Filed: January 24, 2011
    Publication date: April 5, 2012
    Applicants: JSR CORPORATION, INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Leslie Charns, Jason E. Cummings, Lukasz J. Hupka, Dinesh R. Koli, Tomohisa Konno, Mahadevaiyer Krishnan, Michael F. Lofaro, Jakub W. Nalaskowski, Masahiro Noda, Dinesh K. Penigalapati, Tatsuya Yamanaka
  • Publication number: 20120083123
    Abstract: A planarization method includes planarizing a semiconductor wafer in a first chemical mechanical polish step to remove overburden and planarize a top layer leaving a thickness of top layer material over underlying layers. The top layer material is planarized in a second chemical mechanical polish step to further remove the top layer and expose underlying layers of a second material and a third material such that a selectivity of the top layer material to the second material to the third material is between about 1:1:1 to about 2:1:1 to provide a planar topography.
    Type: Application
    Filed: January 25, 2011
    Publication date: April 5, 2012
    Applicants: JSR CORPORATION, INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Josephine B. Chang, Leslie Charns, Jason E. Cummings, Michael A. Guillorn, Lukasz J. Hupka, Dinesh R. Koli, Tomohisa Konno, Mahadevaiyer Krishnan, Michael F. Lofaro, Jakub W. Nalaskowski, Masahiro Noda, Dinesh K. Penigalapati, Tatsuya Yamanaka
  • Publication number: 20120080775
    Abstract: This invention is to provide a method of polishing a silicon wafer wherein a high flatness can be attained likewise the conventional polishing method and further the occurrence of defects due to the remaining of substances included in the polishing solution on the surface of the wafer can be suppressed as well as a polished silicon wafer. The method of polishing a silicon wafer by supplying a polishing solution containing abrasive grains onto a surface of a polishing pad and then relatively sliding the polishing pad to a silicon wafer to polish the surface of the silicon wafer, is characterized in that the number of abrasive grains included in the polishing solution is controlled to not more than 5Ă—1013 grains/cm3.
    Type: Application
    Filed: May 28, 2010
    Publication date: April 5, 2012
    Inventors: Shuhei Matsuda, Tetsuro Iwashita, Ryuichi Tanimoto, Takeru Takushima, Takeo Katoh
  • Patent number: 8147712
    Abstract: Disclosed is a polishing composition containing not less than 1 wt % of a water-soluble resin, which is obtained by polymerizing a vinyl monomer containing an amino group and/or an amide group, based on the total weight of the polishing composition.
    Type: Grant
    Filed: March 19, 2007
    Date of Patent: April 3, 2012
    Assignee: Mitsui Chemicals, Inc.
    Inventors: Akinori Etoh, Setsuko Oike, Shigeharu Fujii, Kiyotaka Shindo, Tomokazu Ishizuka
  • Publication number: 20120070989
    Abstract: A chemical mechanical polishing composition, comprising, as initial components: water; an abrasive; a diquaternary substance according to formula (I), wherein each X is independently selected from N and P; wherein R1 is selected from a saturated or unsaturated C1-C15 alkyl group, a C6-C15 aryl group and a C6-C15 aralkyl group; wherein R2, R3, R4, R5, R6 and R7 are each independently selected from selected from a hydrogen, a saturated or unsaturated C1-C15 alkyl group, a C6-C15 aryl group, a C6-C15 aralkyl group and a C6-C15 alkaryl group; and, wherein the anion in formula (I) can be any anion or combination of anions that balance the 2+ charge on the cation in formula (I); a derivative of guanidine according to formula (II), wherein R8 is selected from a hydrogen, a saturated or unsaturated C1-C15 alkyl group, a C6-C15 aryl group, a C6-C15 aralkyl group and a C6-C15 alkaryl group; wherein R9, R10, R11 and R12 are each independently selected from a hydrogen, a saturated or unsaturated C1-C15 alkyl group, a C6-
    Type: Application
    Filed: September 20, 2010
    Publication date: March 22, 2012
    Inventors: Zhendong Liu, Yi Guo, Kancharla-Arun Kumar Reddy, Guangyun Zhang
  • Publication number: 20120070991
    Abstract: Slurry compositions and chemically activated CMP methods for polishing a substrate having a silicon carbide surface using such slurries. In such methods, the silicon carbide surface is contacted with a CMP slurry composition that comprises i) a liquid carrier and ii) a plurality of particles having at least a soft surface portion, wherein the soft surface portion includes a transition metal compound that provides a Mohs hardness ?6, and optionally iii) an oxidizing agent. The oxidizing agent can include a transition metal. The slurry is moved relative to the silicon carbide comprising surface, wherein at least a portion of the silicon carbide surface is removed.
    Type: Application
    Filed: November 28, 2011
    Publication date: March 22, 2012
    Applicants: UNIVERSITY OF FLORIDA RESEARCH FOUNDATION INC., SINMAT, INC.
    Inventors: RAJIV K. SINGH, ARUL Chakkaravarthi ARJUNAN, DIBAKAR DAS, DEEPIKA SINGH, ABHUDAYA MISHRA, TANJORE V. JAYARAMAN
  • Publication number: 20120070990
    Abstract: A chemical mechanical polishing slurry composition, comprising, as initial components: water; an abrasive; a halogenated quaternary ammonium compound according to formula (I), wherein R8 is selected from a C1-10 alkyl group and a C1-10 hydroxyalkyl group; wherein X1 is a halide selected from chloride, bromide, iodide and fluoride; wherein R9, R10 and R11 are each independently selected from a saturated or unsaturated C1-10 alkyl group, a C1-10 haloalkyl group, a C6-15 aryl group, a C6-15 haloaryl group, a C6-15 arylalkyl group and a C6-15 haloarylalkyl; and, wherein the anion in formula (I) can be any anion that balances the + charge on the cation in formula (I); and, optionally, a diquaternary substance according to formula (II), wherein each A is independently selected from N and P; wherein R1 is selected from a saturated or unsaturated C1-C15 alkyl group, a C6-C15 aryl group and a C6-C15 aralkyl group; wherein R2, R3, R4, R5, R6 and R7 are each independently selected from selected from a hydrogen, a satura
    Type: Application
    Filed: September 22, 2010
    Publication date: March 22, 2012
    Inventors: Zhendong Liu, Yi Guo, Kancharla-Arun Kumar Reddy, Guangyun Zhang
  • Patent number: 8138091
    Abstract: The invention provides a chemical-mechanical polishing composition comprising a cationic abrasive, a cationic polymer, an inorganic halide salt, and an aqueous carrier. The invention further provides a method of chemically-mechanically polishing a substrate with the aforementioned polishing composition. The polishing composition exhibits selectivity for removal of silicon nitride over removal of silicon oxide and polysilicon.
    Type: Grant
    Filed: April 2, 2009
    Date of Patent: March 20, 2012
    Assignee: Cabot Microelectronics Corporation
    Inventors: Jeffrey M. Dysard, Timothy P. Johns
  • Publication number: 20120064721
    Abstract: The present invention relates to polishing slurry and polishing method used for polishing in a process for forming wirings of a semiconductor device, and the like. There are provided polishing slurry giving a polished surface having high flatness even if the polished surface is made of two or more substances, and further, capable of suppressing metal residue and scratches after polishing, and a method of chemical mechanical polishing using this. The polishing slurry of the present invention is polishing slurry containing at least one of a surfactant and an organic solvent, and a metal oxide dissolving agent and water, or polishing slurry containing water and abrasive of which surface has been modified with an alkyl group, and preferably, it further contains a metal oxidizer, water-soluble polymer, and metal inhibitor.
    Type: Application
    Filed: November 18, 2011
    Publication date: March 15, 2012
    Applicant: HITACHI CHEMICAL CO., LTD.
    Inventors: Jin Amanokura, Takafumi Sakurada, Sou Anzai, Masato Fukasawa, Shouichi Sasaki
  • Patent number: 8133815
    Abstract: Compound-semiconductor-substrate polishing methods, compound semiconductor substrates, compound-semiconductor-epitaxial-substrate manufacturing methods, and compound semiconductor epitaxial substrates whereby oxygen superficially present on the substrates reduced. A compound semiconductor-substrate polishing method includes a preparation step (S10), a first polishing step (S20), and a second polishing step (S30). In the preparation step (S10), a compound semiconductor substrate is prepared. In the first polishing step (S20), the compound semiconductor substrate is polished with a chloric polishing agent. In the second polishing step (S30), subsequent to the first polishing step (S20), a polishing operation utilizing an alkaline aqueous solution containing an inorganic builder and having pH of 8.5 to 13.0 inclusive is performed.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: March 13, 2012
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Yoshio Mezaki, Takayuki Nishiura, Masahiro Nakayama
  • Publication number: 20120058642
    Abstract: The invention relates to a chemical-mechanical polishing composition comprising silica, one or more organic carboxylic acids or salts thereof, one or more polysaccharides, one or more bases, optionally one or more surfactants and/or polymers, optionally one or more reducing agents, optionally one or more biocides, and water, wherein the polishing composition has an alkaline pH. The polishing composition exhibits a high removal rate and low particle defects and low haze. The invention further relates to a method of chemically-mechanically polishing a substrate using the polishing composition described herein.
    Type: Application
    Filed: September 2, 2010
    Publication date: March 8, 2012
    Inventors: Michael White, Richard Romine, Brian Reiss, Jeffrey Gilliland, Lamon Jones
  • Publication number: 20120058643
    Abstract: (A) solid polymer particles being finely dispersed in the aqueous phase and containing pendant functional groups (a1) capable of strongly interacting and forming strong complexes with the metal of the surfaces to be polished, and pendant functional groups (a2) capable of interacting less strongly with the metal of the surfaces to be polished than the functional groups (a1); and (B) an organic non-polymeric compound dissolved in the aqueous phase and capable of interacting and forming strong, water-soluble complexes with the metal of the surfaces to be polished and causing an increase of the material removal rate MRR and the static etch rate SER of the metal surfaces to be polished with increasing concentration of the compound (B); a CMP process comprising selecting (A) and (B) and the use of the CMP agent and process for polishing wafers with ICs.
    Type: Application
    Filed: April 19, 2010
    Publication date: March 8, 2012
    Applicant: BASF SE
    Inventors: Vijay Immanuel Raman, Ilshat Gubaydullin, Yuzhuo Li, Mario Brands, Yongqing Lan
  • Patent number: 8129278
    Abstract: A copper/barrier CMP process includes (a) providing a substrate having a bulk metal layer and a barrier layer; (b) polishing the substrate with a first hard polishing pad on a first platen to substantially remove an upper portion of the bulk metal layer, wherein the first hard polishing pad has a hardness of above 50 (Shore D); (c) polishing the substrate with a second hard polishing pad on a second platen to remove residual copper, thereby exposing the barrier layer, wherein the second hard polishing pad has a hardness of above 50 (Shore D); and (d) polishing the substrate with a third hard polishing pad on a third platen to remove the barrier layer, wherein the third hard polishing pad has a hardness ranging between 40-50 (Shore D).
    Type: Grant
    Filed: November 14, 2005
    Date of Patent: March 6, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Boon-Tiong Neo, Chin-Kun Lin, Lee-Lee Lau
  • Patent number: 8129279
    Abstract: A method of performing chemical mechanical polish (CMP) processes on a wafer includes providing the wafer; determining a thickness profile of a feature on a surface of the wafer; and, after the step of determining the thickness profile, performing a high-rate CMP process on the feature using a polish recipe to substantially achieve a within-wafer thickness uniformity of the feature. The polish recipe is determined based on the thickness profile.
    Type: Grant
    Filed: October 13, 2008
    Date of Patent: March 6, 2012
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shen-Nan Lee, Ying-Mei Lin, Yu-Jen Cheng, Keung Hui, Huan-Just Lin
  • Patent number: 8124526
    Abstract: In methods of forming a thin ferroelectric layer and methods of manufacturing a semiconductor device, a preliminary ferroelectric layer is formed on a substrate by depositing a metal oxide including lead, zirconium and titanium. The surface of the preliminary ferroelectric layer is polished using a slurry composition including an acrylic acid polymer, abrasive particles, and water to form a thin ferroelectric layer on the substrate. The slurry composition may reduce a polishing rate of the preliminary ferroelectric layer such that removal of a bulk portion of the preliminary ferroelectric layer may be suppressed and the surface roughness of the preliminary ferroelectric layer may be improved.
    Type: Grant
    Filed: July 15, 2009
    Date of Patent: February 28, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Suk-Hun Choi, Jong-Won Lee, Chang-Ki Hong, Bo-Un Yoon
  • Patent number: 8119529
    Abstract: A method for chemical mechanical polishing of a substrate, comprising: providing a substrate, wherein the substrate comprises silicon dioxide; providing a chemical mechanical polishing composition, wherein the chemical mechanical polishing composition comprises: water, an abrasive; a diquaternary cation according to formula (I); and optionally a quaternary alkylammonium compound; providing a chemical mechanical polishing pad; creating dynamic contact at an interface between the chemical mechanical polishing pad and the substrate; and dispensing the chemical mechanical polishing composition onto the chemical mechanical polishing pad at or near the interface between the chemical mechanical polishing pad and the substrate; wherein the chemical mechanical polishing composition has a pH of 2 to 6; wherein the chemical mechanical polishing composition exhibits a silicon dioxide removal rate of at least 1,500 ?/min.
    Type: Grant
    Filed: April 29, 2009
    Date of Patent: February 21, 2012
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Yi Guo, Zhendong Liu
  • Patent number: 8119517
    Abstract: A chemical mechanical polishing method comprises polishing an organic film using a slurry including polymer particles having a surface functional group and a water-soluble polymer.
    Type: Grant
    Filed: June 3, 2009
    Date of Patent: February 21, 2012
    Assignees: JSR Corporation, Kabushiki Kaisha Toshiba
    Inventors: Hirotaka Shida, Yukiteru Matsui, Atsushi Shigeta, Shinichi Hirasawa, Hirokazu Kato, Masako Kinoshita, Takeshi Nishioka, Hiroyuki Yano
  • Patent number: 8114775
    Abstract: A chemical mechanical polishing composition contains 1) water, 2) optionally an abrasive material, 3) an oxidizer, preferably a per-type oxidizer, 4) a small amount of soluble metal-ion oxidizer/polishing accelerator, a metal-ion polishing accelerator bound to particles such as to abrasive particles, or both; and 5) at least one of the group selected from a) a small amount of a chelator, b) a small amount of a dihydroxy enolic compound, and c) a small amount of an organic accelerator. Ascorbic acid in an amount less than 800 ppm, preferably between about 100 ppm and 500 ppm, is the preferred dihydroxy enolic compound. The polishing compositions and processes are useful for substantially all metals and metallic compounds found in integrated circuits, but is particularly useful for tungsten.
    Type: Grant
    Filed: January 13, 2009
    Date of Patent: February 14, 2012
    Assignee: DuPont Air Products Nanomaterials, LLC
    Inventors: Junaid Ahmed Siddiqui, Daniel Hernandez Castillo, Steven Masami Aragaki, Robin Edward Richards
  • Publication number: 20120028467
    Abstract: Provided is a polishing fluid that has a fast polishing rate, and can selectively suppress polishing of layers including polysilicon or modified polysilicon during the chemical mechanical polishing in the manufacture of semiconductor integrated circuits, and a polishing method using the same. A polishing fluid used for the chemical mechanical polishing in which each of the components represented by the following (1) and (2) is included, the pH is 1.5 to 5.0, and a polishing workpiece can be polished in a range of a ratio represented by RR (other)/RR (p-Si) when the polishing rate of the first layer is RR (p-Si), and the polishing rate of the second layer is RR (other) of 1.5 to 200. (1) Colloidal silica particles (2) At least one inorganic phosphate compound selected from phosphoric acid, pyrophosphoric acid, and polyphosphoric acid.
    Type: Application
    Filed: July 28, 2011
    Publication date: February 2, 2012
    Applicant: FUJIFILM Corporation
    Inventor: Tetsuya KAMIMURA