Utilizing Particulate Abradant Patents (Class 438/693)
  • Publication number: 20120003834
    Abstract: The invention provides a method for chemical mechanical polishing of a substrate. The invention comprises providing a substrate, wherein the substrate comprises a chalcogenide phase change alloy and providing a chemical mechanical polishing composition, wherein the chemical mechanical polishing composition comprises, by weight percent, water, 0.1 to 30 abrasive, at least one polishing agent selected from 0.05 to 5 halogen compound, 0.05 to 5 phthalic acid, 0.05 to 5 phthalic anhydride and salts, derivatives and mixtures thereof and wherein the chemical mechanical polishing composition has a pH of 2 to less than 7. A chemical mechanical polishing pad polishes the substrate with the chemical mechanical polishing pad and the chemical mechanical polishing composition to selectively or non-selectively remove the chalcogenide phase change alloy from the substrate.
    Type: Application
    Filed: July 1, 2010
    Publication date: January 5, 2012
    Inventors: Ja-Ho Koo, Zhendong Liu, Kaveri Sawant, Kancharla-Arun Kumar Reddy
  • Patent number: 8088690
    Abstract: The instant invention is a method of polishing a substrate including contacting a substrate having at least one metal layer including copper with a chemical-mechanical polishing composition. The CMP composition includes an abrasive, a surfactant, an oxidizer, an organic acid including polyacrylic acid or polymethacrylic acid, a corrosion inhibitor, and a liquid carrier. A portion of the copper in the metal layer is abraded to polish the substrate. A second CMP composition contacts the abraded substrate, the second acrylate free composition including an abrasive, a surfactant, an oxidizer, and a corrosion inhibitor, and a liquid carrier. Any dendrites that may have formed on the substrate are removed through abrasion.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: January 3, 2012
    Assignee: International Business Machines Corporation
    Inventors: Thomas L. McDevitt, Graham M. Bates, Eva A. Shah, Matthew T. Tiersch, Eric J. White
  • Patent number: 8088689
    Abstract: A method of fabricating a semiconductor device according to an embodiment includes: forming a core material on a workpiece material; forming a cover film to cover the upper and side surfaces of the core material; after forming the cover film, removing the core material; after removing the core material, removing the cover film while leaving portions thereof located on the side surfaces of the core material, so as to form sidewall spacer masks; and etching the workpiece material by using the sidewall spacer masks as a mask.
    Type: Grant
    Filed: February 27, 2009
    Date of Patent: January 3, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Keisuke Kikutani, Katsunori Yahashi
  • Publication number: 20110318928
    Abstract: The invention provides a aqueous slurry useful for chemical mechanical polishing a semiconductor substrate having copper interconnects. The slurry comprises by weight percent, 0 to 25 oxidizing agent, 0.1 to 50 abrasive particles, 0.001 to 10 inhibitor for decreasing static etch of the copper interconnects, 0.001 to 5 poly(methyl vinyl ether) having a formula as follows: and the poly(methyl vinyl ether) is water soluble and n has a value of at least 5, 0.005 to 1 aminobutyric acid, 0.01 to 5 phosphorus-containing compound, 0 to 10 copper complexing agent formed during polishing and balance water.
    Type: Application
    Filed: June 24, 2010
    Publication date: December 29, 2011
    Inventor: Jinru Bian
  • Publication number: 20110318929
    Abstract: The CMP polishing solution of the invention comprises (A) a metal corrosion inhibitor containing a compound with a 1,2,3-triazolo[4,5-b]pyridine skeleton, (B) an abrasive grain having a positive zeta potential in the CMP polishing solution, (C) a metal oxide solubilizer and (D) an oxidizing agent. The polishing method of the invention comprises a first polishing step in which the conductive substance layer of a substrate comprising an interlayer insulating filth having an elevated section and a trench at the surface, a barrier layer formed following the surface of the interlayer insulating film and the conductive substance layer formed covering the barrier layer, is polished to expose the barrier layer located on the elevated section of the interlayer insulating film, and a second polishing step in which the barrier layer exposed in the first polishing step is polished using the CMP polishing solution to expose the elevated section of the interlayer insulating film.
    Type: Application
    Filed: June 29, 2011
    Publication date: December 29, 2011
    Applicant: HITACHI CHEMICAL COMPANY, LTD.
    Inventors: Kouji Mishima, Takafumi Sakurada, Tomokazu Shimada
  • Patent number: 8084362
    Abstract: The present invention relates to polishing slurry and polishing method used for polishing in a process for forming wirings of a semiconductor device, and the like. There are provided polishing slurry giving a polished surface having high flatness even if the polished surface is made of two or more substances, and further, capable of suppressing metal residue and scratches after polishing, and a method of chemical mechanical polishing using this. The polishing slurry of the present invention is polishing slurry containing at least one of a surfactant and an organic solvent, and a metal oxide dissolving agent and water, or polishing slurry containing water and abrasive, wherein the surface of the abrasive is modified with an alkyl group, and preferably, it further contains a metal oxidizer, water-soluble polymer, and metal inhibitor.
    Type: Grant
    Filed: May 25, 2007
    Date of Patent: December 27, 2011
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Jin Amanokura, Takafumi Sakurada, Sou Anzai, Masato Fukasawa, Shouichi Sasaki
  • Patent number: 8084364
    Abstract: A method of fabricating a semiconductor device, includes forming an amorphous silicon film above a semiconductor substrate, partially removing the amorphous silicon film and partially removing the semiconductor substrate, thereby forming an element isolation trench in a surface of the semiconductor substrate, forming an insulating film above the amorphous silicon film so that the element isolation trench is filled with the insulating film, polishing the insulating film by a chemical-mechanical polishing method with the amorphous silicon film serving as a stopper, thereby planarizing an upper surface of the insulating film, and thermally-treating the amorphous silicon film, thereby converting the amorphous silicon film to a polysilicon film after polishing the insulating film.
    Type: Grant
    Filed: July 13, 2009
    Date of Patent: December 27, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Shunsuke Doi, Atsushi Shigeta
  • Patent number: 8084363
    Abstract: The present invention relates to polishing slurry and polishing method used for polishing in a process for forming wirings of a semiconductor device, and the like. There are provided polishing slurry giving a polished surface having high flatness even if the polished surface is made of two or more substances, and further, capable of suppressing metal residue and scratches after polishing, and a method of chemical mechanical polishing using this. The polishing slurry of the present invention is polishing slurry containing at least one of a surfactant and an organic solvent, and a metal oxide dissolving agent and water, or polishing slurry containing water and abrasive of which surface has been modified with an alkyl group, and preferably, it further contains a metal oxidizer, water-soluble polymer, and metal inhibitor.
    Type: Grant
    Filed: February 4, 2009
    Date of Patent: December 27, 2011
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Jin Amanokura, Takafumi Sakurada, Sou Anzai, Masato Fukasawa, Shouichi Sasaki
  • Publication number: 20110312181
    Abstract: A method using an associated composition for chemical mechanical planarization of a copper-containing substrate affords high copper removal rates and low dishing values during CMP processing of the copper-containing substrate, including an abrasive, at least three surfactants, preferably non-ionic and preferably three distinct surfactants, preferably in the range of 100 ppm to 2000 ppm per surfactant and an oxidizing agent.
    Type: Application
    Filed: December 10, 2010
    Publication date: December 22, 2011
    Applicant: DUPONT AIR PRODUCTS NANOMATERIALS, LLC
    Inventor: Xiaobo Shi
  • Publication number: 20110312182
    Abstract: A method and apparatus for performing chemical-mechanical planarization (CMP) is disclosed, which in one embodiment includes a CMP tool for polishing a semiconductor wafer. The CMP tool includes a slurry mixture that has slurry beads. The slurry beads are formed of a polymer material. The slurry beads are used to remove summits and non-uniformities on the semiconductor wafer. In some embodiments the CMP tool includes a counter-face that replaces the polishing pad of a conventional CMP tool. In some embodiments the counter-face is made of polycarbonate. In another embodiment a slurry mixture for use with a CMP tool is disclosed. The slurry mixture includes slurry beads, where each of the slurry beads has a diameter of between 0.1 and 1000 microns, or in some embodiments a diameter of between 10 and 50 microns.
    Type: Application
    Filed: September 2, 2011
    Publication date: December 22, 2011
    Applicant: ARACA, INC.
    Inventors: Leonard John Borucki, Yasa Adi Sampurno, Ara Philipossian
  • Patent number: 8080476
    Abstract: To provide a polishing composition particularly useful for an application to polish a conductor layer made of copper in a semiconductor wiring process, and a polishing process employing it. A polishing composition comprising an anionic surfactant and a nonionic surfactant, characterized in that the composition is prepared so that the water contact angle of the surface of an object to be polished, after being polished by the composition, would be at most 60°.
    Type: Grant
    Filed: August 1, 2007
    Date of Patent: December 20, 2011
    Assignee: Fujimi Incorporated
    Inventors: Atsunori Kawamura, Masayuki Hattori
  • Publication number: 20110306211
    Abstract: A chemical mechanical polishing composition, comprising, as initial components: water; 0.1 to 40 wt % abrasive having an average particle size of 5 to 150 nm; 0.001 to 1 wt % of an adamarityl substance according to formula (II); 0 to 1 wt % diquaternary substance according to formula (I); and, 0 to 1 wt % of a quaternary ammonium compound. Also, provided is a method for chemical mechanical polishing using the chemical mechanical polishing composition.
    Type: Application
    Filed: June 15, 2010
    Publication date: December 15, 2011
    Inventors: Yi Guo, Zhendong Liu, Kancharla-Arun Kumar Reddy, Guangyun Zhang
  • Publication number: 20110300710
    Abstract: An effective chemical mechanical planarization (CMP) method is provided for forming vias in silicon wafers for the fabrication of stacked devices using TSV (through-silicon via) technology. The method affords high removal rates of both metal (e.g., copper) and silicon such that a need for a grinding step prior to CMP processing may not be necessary. The method affords an approximately 1:1 Cu:Si selectivity for removal of silicon and copper under appropriate conditions and the Cu:Si selectivity is tunable by adjustment of levels of some key components.
    Type: Application
    Filed: December 3, 2010
    Publication date: December 8, 2011
    Applicant: DuPont Air Products NanoMaterials, LLC
    Inventors: James Matthew Henry, Daniel Hernandez Castillo, II
  • Patent number: 8070843
    Abstract: Provided are several polishing compositions useful for modifying a surface, such as a semiconductor wafer suitable for fabrication of a semiconductor device, especially when used in fixed abrasive planarization techniques. The polishing compositions include a synergistic mixture of water, an oxidizing agent, a complexing agent, and metal ions. Also provided are various methods of surface planarization.
    Type: Grant
    Filed: September 3, 2008
    Date of Patent: December 6, 2011
    Assignee: 3M Innovative Properties Company
    Inventor: Jeffrey S. Kollodge
  • Patent number: 8071479
    Abstract: A method for chemical mechanical polishing of a substrate comprising a barrier material in the presence of at least one of an interconnect metal and a low-k dielectric material using a chemical mechanical polishing composition comprising water; 1 to 40 wt % abrasive having an average particle size of ?100 nm; 0.001 to 5 wt % quaternary compound; a material having a formula (I): wherein R is selected from C2-C20 alkyl, C2-C20 aryl, C2-C20 aralkyl and C2-C20 alkaryl; wherein x is an integer from 0 to 20; wherein y is an integer from 0 to 20; wherein x+y?1; and, wherein the chemical mechanical polishing composition has a pH?5.
    Type: Grant
    Filed: December 11, 2008
    Date of Patent: December 6, 2011
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventor: Zhendong Liu
  • Patent number: 8058172
    Abstract: A polishing composition containing at least one or more aminocarboxylic acids selected from the group consisting of serine, cysteine and dihydroxyethylglycine, ceria particles and an aqueous medium; a polishing process of a semiconductor substrate, including the step of polishing a semiconductor substrate with a polishing composition for a semiconductor substrate, containing at least one or more aminocarboxylic acids selected from the group consisting of serine, cysteine and dihydroxyethylglycine, ceria particles and an aqueous medium; a method for manufacturing a semiconductor device including the step of polishing a semiconductor substrate having a film formed on its surface, the film containing a silicon atom and having a shape with dents and projections, with a polishing pad pressed against a semiconductor substrate at a polishing load of from 5 to 100 kPa in the presence of a polishing composition for a semiconductor substrate, containing at least one or more aminocarboxylic acids selected from the group
    Type: Grant
    Filed: March 18, 2009
    Date of Patent: November 15, 2011
    Assignee: Kao Corporation
    Inventors: Yasuhiro Yoneda, Mami Shirota
  • Publication number: 20110275217
    Abstract: The polishing solution for CMP of the invention comprises abrasive grains, a first additive and water, wherein the first additive is at least 1,2-benzoisothiazole-3(2H)-one or 2-aminothiazole. The polishing method of the invention is a polishing method for a substrate having a silicon oxide film on the surface, and the polishing method comprises a step of polishing the silicon oxide film with a polishing pad while supplying the polishing solution for CMP between the silicon oxide film and the polishing pad.
    Type: Application
    Filed: May 6, 2011
    Publication date: November 10, 2011
    Applicant: HITACHI CHEMICAL COMPANY, LTD.
    Inventors: Eiichi Satou, Shigeru Nobe, Munehiro Oota, Masayuki Hanano, Shigeru Yoshikawa
  • Publication number: 20110275216
    Abstract: A chemical mechanical polishing method includes employing a topologically selective slurry or an abrasive trapped or abrasive mounted pad in an initial polishing operation to provide a substantially planar topology of a polysilicon layer of a semiconductor wafer, and performing a second polishing operation to remove a portion of the polysilicon layer to expose discrete elements of the semiconductor wafer.
    Type: Application
    Filed: May 4, 2010
    Publication date: November 10, 2011
    Inventors: Chun Fu Chen, Yung Tai Hung, Chin-Ta Su, Ta-Hung Yang
  • Publication number: 20110275168
    Abstract: A one-step CMP process for polishing three or more layer film stacks on a wafer having a multilayer film stack thereon including a silicon nitride (SiNx) layer on its semiconductor surface, and a silicon oxide layer on the SiNx layer, wherein trench access vias extend through the silicon oxide layer and SiNx layer to trenches formed into the semiconductor surface, and wherein a polysilicon layer fills the trench access vias, fills the trenches, and is on the silicon oxide layer. CMP polishes the multilayer film stack with a slurry including slurry particles including at least one of silica and ceria. The CMP provides a removal rate (RR) for the polysilicon layer>a RR for the silicon oxide layer>a RR for the SiNx layer. The CMP process is continued to remove the polysilicon layer, silicon oxide layer and a portion of the SiNx layer to stop on the SiNx layer. Optical endpointing during CMP can provide a predetermined remaining thickness range for the SiNx layer.
    Type: Application
    Filed: May 7, 2010
    Publication date: November 10, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Eugene C. Davis, Binghua Hu, Sopa Chevacharoenkul, Prakash D. Dev
  • Publication number: 20110269312
    Abstract: This invention relates to a chemical composition for chemical mechanical polishing (CMP) of substrates that are widely used in the semiconductor industry. The inventive chemical composition contains additives that are capable of improving consistency of the polishing performance and extending the lifetime of a polishing pad.
    Type: Application
    Filed: September 29, 2009
    Publication date: November 3, 2011
    Applicant: BASF SE
    Inventors: Yuzhuo Li, Harvey Wayne Pinder, Shyam S. Venkataraman
  • Patent number: 8048330
    Abstract: By providing an interlayer dielectric material with different removal rates, a desired minimum material height above gate electrode structures of sophisticated transistor devices of the 65 nm technology or 45 nm technology may be obtained. The reduced removal rate above the gate electrode may thus provide enhanced process robustness during the planarization of the interlayer dielectric layer stack prior to the formation of contact elements.
    Type: Grant
    Filed: May 28, 2008
    Date of Patent: November 1, 2011
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Ralf Richter, Thomas Foltyn, Anthony Mowry
  • Patent number: 8048809
    Abstract: A slurry composition includes about 4.25 to about 18.5 weight percent of an abrasive, about 80 to about 95 weight percent of deionized water, and about 0.05 to about 1.5 weight percent of an additive. The slurry composition may further include a surfactant. In a polishing method using the slurry composition, a polysilicon layer may be rapidly polished, and also dishing and erosion of the polysilicon layer may be suppressed.
    Type: Grant
    Filed: September 17, 2007
    Date of Patent: November 1, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyo-Jin Lee, Kyung-Hyun Kim, Yong-Sun Ko
  • Patent number: 8048808
    Abstract: A slurry composition for polishing metal includes a polymeric polishing accelerating agent, the polymeric polishing accelerating agent including a backbone of hydrocarbon and a side substituent having at least one of a sulfonate ion (SO3?) and a sulfate ion (OSO3?), and an acidic aqueous solution.
    Type: Grant
    Filed: June 26, 2008
    Date of Patent: November 1, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-Won Lee, Sang-Yeob Han, Chang-Ki Hong, Bo-Un Yoon, Jae-Dong Lee
  • Patent number: 8048807
    Abstract: Provided is a method for fabricating a semiconductor device that includes providing a semiconductor substrate having a front side and a backside, where active or passive devices are formed in the front side, rotating the semiconductor substrate, and etching the backside of the semiconductor substrate by introducing a first etchant while the substrate is rotated, the first etchant including an R—COOH.
    Type: Grant
    Filed: September 5, 2008
    Date of Patent: November 1, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming Chyi Liu, Yao Fei Chuang, Martin Liu, Gwo-Yuh Shiau, Chia-Shiung Tsai
  • Patent number: 8043970
    Abstract: Slurry compositions for selectively polishing silicon nitride relative to silicon oxide, methods of polishing a silicon nitride layer and methods of manufacturing a semiconductor device using the same are provided. The slurry compositions include a first agent for reducing an oxide polishing rate, an abrasive particle and water, and the first agent includes poly(acrylic acid). The slurry composition may have a high polishing selectivity of silicon nitride relative to silicon oxide to be employed in selectively polishing a silicon nitride layer in a semiconductor manufacturing process.
    Type: Grant
    Filed: July 3, 2008
    Date of Patent: October 25, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-Won Lee, Sang-Yeob Han, Chang-Ki Hong, Jae-Dong Lee
  • Patent number: 8039398
    Abstract: Prior to performing a CMP process for planarizing a metallization level of an advanced semiconductor device, an appropriate cap layer may be formed in order to delay the exposure of metal areas of reduced height level to the highly chemically reactive slurry material. Consequently, metal of increased height level may be polished with a high removal rate due to the mechanical and the chemical action of the slurry material, while the chemical interaction with the slurry material may be substantially avoided in areas of reduced height level. Therefore, a high process uniformity may be achieved even for pronounced initial surface topographies and slurry materials having a component of high chemical reactivity.
    Type: Grant
    Filed: October 3, 2007
    Date of Patent: October 18, 2011
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Frank Feustel, Robert Seidel, Juergen Boemmels
  • Publication number: 20110250755
    Abstract: A method of the present invention includes polishing a wafer having an exposed copper or copper alloy surface and an exposed silicon surface by using a polishing composition containing 0.02 to 0.6% by mass of hydrogen peroxide, preferably 0.05 to 0.2% by mass thereof. The polishing composition preferably further contains at least one of a complexing agent, an inorganic electrolyte, and abrasive grains such as colloidal silica. The polishing composition has a pH of preferably 9 or more, more preferably 10 or more.
    Type: Application
    Filed: April 5, 2011
    Publication date: October 13, 2011
    Applicant: FUJIMI INCORPORATED
    Inventors: Hitoshi MORINAGA, Noboru YASUFUKU, Toshio SHINODA
  • Publication number: 20110248281
    Abstract: A nitride semiconductor substrate includes two principal surfaces including an upper surface that is a growth face and a lower surface on its opposite side. An FWHM in a surface layer region at depths of from 0 to 250 nm from the upper surface is narrower than an FWHM in an inner region at depths exceeding 5 ?m from the upper surface, where the FWHMs are obtained by X-ray rocking curve measurement using diffraction off a particular asymmetric plane inclined relative to the upper surface.
    Type: Application
    Filed: August 2, 2010
    Publication date: October 13, 2011
    Applicant: Hitachi Cable, Ltd.
    Inventors: Yuichi Oshima, Takehiro Yoshida
  • Publication number: 20110250754
    Abstract: A polishing composition contains a polishing accelerator, a water-soluble polymer including a constitutional unit originating from a polymerizable compound having a guanidine structure such as dicyandiamide, and an oxidant. The water-soluble polymer may be a water-soluble polymer including a constitutional unit originating from dicyandiamide and a constitutional unit originating from formaldehyde, a diamine or a polyamine.
    Type: Application
    Filed: March 30, 2011
    Publication date: October 13, 2011
    Applicant: FIJIMI INCORPORATED
    Inventors: Tatsuhiko HIRANO, Shuichi TAMADA, Takahiro UMEDA
  • Publication number: 20110250756
    Abstract: A chemical mechanical polishing aqueous dispersion comprises (A) abrasive grains, (B) at least one of quinolinecarboxylic acid and pyridinecarboxylic acid, (C) an organic acid other than quinolinecarboxylic acid and pyridinecarboxylic acid, (D) an oxidizing agent, and (E) a nonionic surfactant having a triple bond, the mass ratio (WB/WC) of the amount (WB) of the component (B) to the amount (WC) of the component (C) being 0.01 or more and less than 2, and the component (E) being shown by the following general formula (1), wherein m and n individually represent integers equal to or larger than one, provided that m+n?50 is satisfied.
    Type: Application
    Filed: June 22, 2011
    Publication date: October 13, 2011
    Applicants: KABUSHIKI KAISHA TOSHIBA, JSR CORPORATION
    Inventors: Kazuhito UCHIKURA, Hirotaka Shida, Yuuichi Hashiguchi, Gaku Minamihaba, Dai Fukushima, Yoshikuni Tateyama, Hiroyuki Yano
  • Patent number: 8034252
    Abstract: A metal-polishing liquid includes colloidal silica and a compound represented by Formula (I) or a compound represented by Formula (II). The colloidal silica is substituted by aluminum atoms at least one portion of the silicon atoms on the surfaces thereof. In Formula (I), R1 represents an alkyl group, alkynyl group, alkenyl group, allyl group or aryl group; R2 represents hydrogen atom, an alkyl group, alkynyl group, alkenyl group, allyl group or aryl group; m represents an integer from 0 to 6. In Formula (II), R3 represents an alkyl group or aryl group; n represents an integer from 1 to 30.
    Type: Grant
    Filed: February 2, 2007
    Date of Patent: October 11, 2011
    Assignee: FUJIFILM Corporation
    Inventor: Katsuhiro Yamashita
  • Publication number: 20110244685
    Abstract: A method for chemical mechanical polishing of a substrate is provided, comprising: providing a substrate, wherein the substrate comprises silicon oxide; providing a chemical mechanical polishing composition, comprising, as initial components: water; an abrasive; and a substance according to formula I wherein R1, R2 and R3 are each independently selected from a C1-4 alky group; providing a chemical mechanical polishing pad with a polishing surface; moving the polishing surface relative to the substrate; dispensing the chemical mechanical polishing composition onto the polishing surface; and, abrading at least a portion of the substrate to polish the substrate; wherein the substance according to formula I included in the chemical mechanical polishing composition provides an enhanced silicon oxide removal rate and an improved polishing defectivity performance; and, wherein at least some of the silicon oxide is removed from the substrate.
    Type: Application
    Filed: March 31, 2010
    Publication date: October 6, 2011
    Inventors: Yi Guo, Zhendong Liu, Kancharla-Arun Kumar Reddy, Guangyun Zhang
  • Patent number: 8030213
    Abstract: To provide a polishing technique with which in production of a semiconductor integrated circuit device, when a plane to be polished is polished, an appropriate polishing rate ratio of a polysilicon film to another material can be obtained, whereby high level planarization of a plane to be polished including a polysilicon film can be realized. A polishing compound for chemical mechanical polishing, containing cerium oxide particles, a water-soluble polyamine and water and having a pH within a range of from 10 to 13, is used.
    Type: Grant
    Filed: September 17, 2007
    Date of Patent: October 4, 2011
    Assignees: Asahi Glass Company, Limited, AGC Seimi Chemical Co., Ltd.
    Inventors: Iori Yoshida, Yoshinori Kon
  • Publication number: 20110237079
    Abstract: An effective method for forming through-base wafer vias for the fabrication of stacked devices, such as electronic devices, is described. The base wafer can be a silicon wafer, in which case the method relates to TSV (through-silicon via) technology. The method affords high removal rates of silicon under appropriate conditions.
    Type: Application
    Filed: September 23, 2010
    Publication date: September 29, 2011
    Applicant: DUPONT AIR PRODUCTS NANOMATERIALS LLC
    Inventors: Hyoung Sik Kim, Jung Hee Lee, Daniel Hernandez Castillo, II, James Matthew Henry
  • Publication number: 20110230049
    Abstract: A method for chemical mechanical polishing of a substrate is provided, comprising: providing a substrate, wherein the substrate comprises polysilicon and at least one of silicon oxide and silicon nitride; providing a chemical mechanical polishing composition, comprising, as initial components: water; an abrasive; and an acyclic organosulfonic acid compound, wherein the acyclic organosulfonic acid compound has an acyclic hydrophobic portion having 6 to 30 carbon atoms and a nonionic acyclic hydrophilic portion having 10 to 300 carbon atoms; providing a chemical mechanical polishing pad with a polishing surface; moving the polishing surface relative to the substrate; dispensing the chemical mechanical polishing composition onto the polishing surface; and, abrading at least a portion of the substrate to polish the substrate; wherein at least some of the polysilicon is removed from the substrate; and, wherein at least some of the at least one of silicon oxide and silicon nitride is removed from the substrate.
    Type: Application
    Filed: March 16, 2010
    Publication date: September 22, 2011
    Inventors: Yi Guo, Zhendong Liu, Kancharla-Arun Kumar Reddy, Guangyun Zhang
  • Publication number: 20110230050
    Abstract: A method for chemical mechanical polishing of a substrate is provided, comprising: providing a substrate, wherein the substrate comprises polysilicon and at least one of silicon oxide and silicon nitride; providing a chemical mechanical polishing composition, comprising, as initial components: water; an abrasive; and an alkyl aryl polyether sulfonate compound, wherein the alkyl aryl polyether sulfonate compound has a hydrophobic portion having an alkyl group bound to an aryl ring and a nonionic acyclic hydrophilic portion having 4 to 100 carbon atoms; providing a chemical mechanical polishing pad with a polishing surface; moving the polishing surface relative to the substrate; dispensing the chemical mechanical polishing composition onto the polishing surface; and, abrading at least a portion of the substrate to polish the substrate; wherein at least some of the polysilicon is removed from the substrate; and, wherein at least some of the at least one of silicon oxide and silicon nitride is removed from the su
    Type: Application
    Filed: March 16, 2010
    Publication date: September 22, 2011
    Inventors: Yi Guo, Zhendong Liu, Kancharla-Arun Kumar Reddy, Guangyun Zhang
  • Publication number: 20110230048
    Abstract: A method for chemical mechanical polishing of a substrate is provided, comprising: providing a substrate, wherein the substrate comprises polysilicon, silicon oxide and silicon nitride; providing a chemical mechanical polishing composition, comprising, as initial components: water; an abrasive; an alkyl aryl polyether sulfonate compound, wherein the alkyl aryl polyether sulfonate compound has a hydrophobic portion having an alkyl group bound to an aryl ring and a nonionic acyclic hydrophilic portion having 4 to 100 carbon atoms; and a substance according to formula I wherein each of R1, R2, R3, R4, R5, R6 and R7 is a bridging group having a formula —(CH2)n—, wherein n is an integer selected from 1 to 10; providing a chemical mechanical polishing pad with a polishing surface; moving the polishing surface relative to the substrate; dispensing the chemical mechanical polishing composition onto the polishing surface; and, abrading at least a portion of the substrate to polish the substrate; wherein at least s
    Type: Application
    Filed: March 16, 2010
    Publication date: September 22, 2011
    Inventors: Yi Guo, Zhendong Liu, Kancharla-Arun Kumar Reddy, Guangyun Zhang
  • Publication number: 20110223764
    Abstract: The present invention provides an aqueous CMP slurry composition that includes abrasive particles and from about 0.01% to the limit of solubility in water of a compound according to Formula (I): wherein only one of R1, R2, R3, R4 and R5 is a hydroxyl group (—OH), only one of R1, R2, R3, R4 and R5 is a methoxy group (—OCH3), and the three of R1, R2, R3, R4 and R5 that are not either a hydroxyl group (—OH) or a methoxy group (—OCH3) are hydrogen atoms (—H).
    Type: Application
    Filed: August 25, 2009
    Publication date: September 15, 2011
    Applicant: Ferro Corporation
    Inventor: Bradley M. Kraft
  • Publication number: 20110217845
    Abstract: A polishing composition is disclosed containing a nonionic active agent with a molecular weight of 1,000 or more and less than 100,000 and an HLB value of not less than 17, a basic compound, and water. The nonionic active agent is preferably an oxyalkylene homopolymer or a copolymer of different oxyalkylenes. The polishing composition may further contain at least one of silicon dioxide and a water-soluble polymer. The polishing composition is used, for example, in polishing the surface of semiconductor substrates such as silicon wafers.
    Type: Application
    Filed: February 25, 2011
    Publication date: September 8, 2011
    Applicant: FUJIMI, INC.
    Inventors: Shuhei TAKAHASHI, Hitoshi MORINAGA
  • Publication number: 20110212621
    Abstract: The present invention relates to a polishing composition used in a step of polishing until a barrier layer adjacent to a copper layer is exposed, in a pattern formation of polishing the copper layer provided on an insulating layer through the barrier layer thereby alternately forming a copper embedded wiring and the insulating layer, the polishing composition including: an alicyclic resin acid; a colloidal silica in which a content thereof in the polishing composition is from 0.1 to 1.5% by mass, an average primary particle size thereof is from 10 to 40 nm, an average secondary particle size thereof is from 30 to 80 nm, and (the average secondary particle size×the content) is in a range of from 10 to 40; and tetramethylammonium ion.
    Type: Application
    Filed: May 5, 2011
    Publication date: September 1, 2011
    Applicant: Asahi Glass Company, Limited
    Inventors: Iori YOSHIDA, Hiroyuki Kamiya
  • Patent number: 8008172
    Abstract: A method of forming a semiconductor device includes: forming a pattern having trenches on a semiconductor substrate; forming a semiconductor layer on the semiconductor device that fills the trenches; planarizing the semiconductor layer using a first planarization process without exposing the pattern; performing an epitaxy growth process on the first planarized semiconductor layer to form a crystalline semiconductor layer; and planarizing the crystalline semiconductor layer until the pattern is exposed to form a crystalline semiconductor pattern.
    Type: Grant
    Filed: February 15, 2008
    Date of Patent: August 30, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-Heun Lim, Chang-Ki Hong, Bo-Un Yoon, Seong-Kyu Yun, Suk-Hun Choi, Sang-Yeob Han
  • Patent number: 8008165
    Abstract: Nitride semiconductor wafers which are produced by epitaxially grown nitride films on a foreign undersubstrate in vapor phase have strong inner stress due to misfit between the nitride and the undersubstrate material. A GaN wafer which has made by piling GaN films upon a GaAs undersubstrate in vapor phase and eliminating the GaAs undersubstrate bends upward due to the inner stress owing to the misfit of lattice constants between GaN and GaAs.
    Type: Grant
    Filed: July 14, 2010
    Date of Patent: August 30, 2011
    Assignees: Sumitomo Electric Industries, Ltd., Sony Corporation
    Inventors: Masahiro Nakayama, Naoki Matsumoto, Koshi Tamamura, Masao Ikeda
  • Patent number: 8008203
    Abstract: A polishing method and a polishing apparatus capable of polishing a surface of a substrate made of SiC or diamond extremely smoothly and efficiently without causing subsurface damage are provided. A polishing platen 1 can rotate around a rotating shaft 4, and is made of quartz having high transparency to ultraviolet radiation. A large number of grooves 11 are arranged on a front surface of the polishing platen 1 in a lattice form, and each of the grooves 11 is filled with solid photocatalytic particles 20 (CeO2). The polishing platen 1 is relatively rubbed against a to-be-polished surface 30A of a substrate 30 made of silicon carbide (SiC) or diamond (C) while pressing the polishing platen 1 to the to-be-polished surface 30A of the substrate 30 with a very high pressure, thereby the to-be-polished surface 30A is oxidized by the solid photocatalytic particles 20 to perform chemical polishing.
    Type: Grant
    Filed: July 7, 2006
    Date of Patent: August 30, 2011
    Assignee: National Universtiy Corporation Kumamoto University
    Inventor: Junji Watanabe
  • Patent number: 8008201
    Abstract: Aqueous cerium oxide dispersion Aqueous cerium oxide dispersion, containing 5 to 60% by weight cerium oxide. It can be used to polish SiO2 in the semiconductor industry.
    Type: Grant
    Filed: March 8, 2006
    Date of Patent: August 30, 2011
    Assignee: Evonik Degussa GmbH
    Inventors: Michael Kröll, Stefan Heberer, Stipan Katusic, Michael Krämer, Wolfgang Lortz
  • Publication number: 20110207327
    Abstract: The present invention relates to a polishing method for polishing a to-be-polished surface including a polysilicon film having a silicon dioxide film directly thereunder, in manufacturing a semiconductor integrated circuit device, the method including: a first polishing step of polishing and planarizing the polysilicon film with a first abrasive containing a cerium oxide particle, water and an acid; and a second polishing step of polishing the polysilicon film planarized in the first polishing step with a second abrasive containing at least a cerium oxide particle, water, an acid and a water-soluble polyamine or a salt thereof and stopping polishing by exposure of the silicon dioxide film.
    Type: Application
    Filed: May 4, 2011
    Publication date: August 25, 2011
    Applicant: ASAHI GLASS COMPANY, LIMITED
    Inventors: Masaru SUZUKI, Norihito Nakazawa
  • Publication number: 20110207326
    Abstract: A polishing slurry includes an abrasive, a dispersion agent, a polish accelerating agent and an adhesion inhibitor. The adhesion inhibitor includes a benzene compound combined with a carboxyl group. Methods of planarizing an insulating layer using the slurry are also provided.
    Type: Application
    Filed: February 24, 2011
    Publication date: August 25, 2011
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sangkyun Kim, NamSoo Kim, JongWoo Kim, Yun-Jeong Kim
  • Patent number: 8003537
    Abstract: A method for the production of a planar structure is disclosed. The method comprises producing on a substrate a plurality of structures of substantially equal height, and there being a space in between the plurality of structures. The method further comprises providing a fill layer of electromagnetic radiation curable material substantially filling the space between the structures. The method further comprises illuminating a portion of the fill layer with electromagnetic radiation, hereby producing a exposed portion and an unexposed portion, the portions being separated by an interface substantially parallel with the first main surface of the substrate. The method further comprises removing the portion above the interface.
    Type: Grant
    Filed: July 18, 2007
    Date of Patent: August 23, 2011
    Assignees: IMEC, Katholieke Universiteit Leuven
    Inventors: Xavier Rottenberg, Phillip Ekkels, Hendrikus Tilmans, Walter De Raedt
  • Patent number: 7998866
    Abstract: The inventive method comprises chemically-mechanically polishing a substrate comprising at least one layer of silicon carbide with a polishing composition comprising a liquid carrier, an abrasive, and an oxidizing agent.
    Type: Grant
    Filed: March 5, 2008
    Date of Patent: August 16, 2011
    Assignee: Cabot Microelectronics Corporation
    Inventors: Michael L. White, Lamon Jones, Jeffrey Gilliland, Kevin Moeggenborg
  • Patent number: 7998358
    Abstract: A polishing system receives one or more target parameters for a selected peak in a spectrum of light, polishes a substrate, measures a current spectrum of light reflected from the substrate while the substrate is being polished, identifies the selected peak in the current spectrum, measures one or more current parameters of the selected peak in the current spectrum, compares the current parameters of the selected peak to the target parameters, and ceases to polish the substrate when the current parameters and the target parameters have a pre defined relationship.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: August 16, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Dominic J. Benvegnu, Bogdan Swedek, David J. Lischka
  • Patent number: 7994057
    Abstract: The inventive method comprises chemically-mechanically polishing a substrate with an inventive polishing composition comprising a liquid carrier, a cationic polymer, an acid, and abrasive particles that have been treated with an aminosilane compound.
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: August 9, 2011
    Assignee: Cabot Microelectronics Corporation
    Inventors: Jeffrey Dysard, Sriram Anjur, Steven Grumbine, Daniela White, William Ward