Planarization By Etching And Coating Patents (Class 438/697)
  • Publication number: 20020160274
    Abstract: The invention relates to a method of improving control over the dimensions of a patterned photoresist, which enables better control of the critical dimensions of a photomask or reticle which is fabricated using the patterned photoresist. In addition, the method may be used to enable improved control over the dimensions of a semiconductor device fabricated using a patterned photoresist. In particular, a patterned photoresist is treated with an etchant plasma to reshape the surface of the patterned photoresist, where reshaping includes the removal of “t”-topping at the upper surface of the patterned resist, the removal of standing waves present on patterned surfaces, and the removal of feet which may be present at the base of the patterned photoresist, where the photoresist contacts an underlying layer such as an ARC layer.
    Type: Application
    Filed: March 16, 2001
    Publication date: October 31, 2002
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Alex Buxbaum, Melvin W. Montgomery
  • Patent number: 6472324
    Abstract: The present invention is directed to a method of manufacturing a trench type semiconductor element isolation structure including the steps of: (i) forming a silicon oxide film on a silicon substrate and forming a silicon nitride film on the silicon oxide film; (ii) forming a groove penetrating the silicon nitride film and the silicon oxide film, said groove reaching an interior of the silicon substrate; (iii) forming a thermal oxide film on an inner wall of said groove; (iv) depositing an oxide in said groove; (v) subjecting said oxide to a polishing treatment with the silicon nitride film used as a stopper layer, so that a part of the insulator is removed; (vi) etching the oxide by a predetermined amount of said oxide after completing the step (v); (vii) etching the silicon nitride film after completing the step (vi); and (viii) etching the silicon oxide film after completing the step (vii).
    Type: Grant
    Filed: March 16, 2001
    Date of Patent: October 29, 2002
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Yoshihiko Kusakabe, Yasuki Morino
  • Patent number: 6472271
    Abstract: The present invention discloses a planarization method of memory unit of a flash memory, wherein a patterned polysilicon layer and a silicon nitride layer are formed in turn on a semiconductor substrate. A silicon dioxide layer is then deposited by the HDPCVD technique. Next, a silicon nitride layer is deposited. Finally, the silicon nitride layer and the silicon dioxide layer thereon are simultaneously removed using hot phosphoric acid. Because the CMP technique is not used in the present invention, the problem of micro scratches will not arise. Therefore, the present invention can assure the requirement of high planarity of memory unit of the flash memory, simplify the process flow, increase the tolerance of the etching mask, and effectively enhance the function of memory unit.
    Type: Grant
    Filed: May 24, 2001
    Date of Patent: October 29, 2002
    Assignee: Macronix International Co., Ltd.
    Inventor: Pei-Ren Jeng
  • Patent number: 6465351
    Abstract: A method for fabricating a capacitor is provided that can reduce the number of CMP processes. It avoids the use of a CMP process on an uneven interlayer insulating layer on which a storage node is to be formed, by employing a process of forming a sacrificial oxide layer on the uneven interlayer insulating layer, forming a CMP stopper layer, forming another oxide layer, etching the deposited layers until a top surface of uneven interlayer insulating layer is exposed to form a trench therein for a storage node, depositing a conductive material in the trench and on the another oxide, and performing a CMP process until a top surface of the CMP stopper layer is exposed to electrically separate each storage node from another. The remainder of the oxide layer on the CMP stopper layer is then removed and then the CMP stopper layer is removed.
    Type: Grant
    Filed: May 2, 2000
    Date of Patent: October 15, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: In-Kwon Jeong
  • Patent number: 6461966
    Abstract: A method of forming a composite dielectric layer comprising the following steps. A structure having at least two semiconductor structures separated by a gap therebetween is provided. A first dielectric layer is formed over the structure, the two semiconductor structures and within the gap between the two semiconductor structures to a thickness as least as high as the top of the semiconductor structures by a first high density plasma (HDP) process. The first HDP process having a first high bias RF power, a low first deposition: sputter ratio and a first chucking bias voltage. A second dielectric layer is then formed over the first dielectric layer by a second HDP process to form the composite dielectric layer. The second HDP process having: a second bias RF power that is less than the first bias RF power; a second deposition: sputter ratio that is greater than the first deposition: sputter ratio; and a second chucking bias voltage that is zero.
    Type: Grant
    Filed: December 14, 2001
    Date of Patent: October 8, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Yao-Hsiang Chen, Chu-Yun Fu, Syung-Ming Jang
  • Publication number: 20020132492
    Abstract: A method of manufacturing a semiconductor device is capable of preventing a dishing phenomenon from occurring without using dummy patterns. A plurality of conductive patterns are formed along the entire surface of a semiconductor substrate with an irregular pattern density. The conductive patterns have a first stopper layer at the top thereof. An interlayer insulating layer is formed on the conductive patterns. Next, a second stopper layer is formed on the interlayer insulating layer. An etching mask is formed on the second stopper layer so as to expose a first region having a conductive pattern density that is higher than that of another region(s). By using the etching mask, the second stopper layer and part of the interlayer insulating layer are etched at the first region.
    Type: Application
    Filed: March 12, 2002
    Publication date: September 19, 2002
    Inventors: Jung-yup Kim, Sang-rok Hah
  • Patent number: 6451697
    Abstract: Metal CMP with reduced dishing and overpolish insensitivity is achieved with an abrasive-free polishing composition having a pH and oxidation-reduction potential in the domain of passivation of the metal and, therefore, a low static etching rate at high temperatures, e.g., higher than 50° C. Embodiments of the present invention comprise CMP of Cu film without any abrasive using a composition comprising one or more chelating agents, one or more oxidizers, one or more corrosion inhibitors, one or more agents to achieve a pH of about 3 to about 10 and deionized water.
    Type: Grant
    Filed: April 6, 2000
    Date of Patent: September 17, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Lizhong Sun, Shijian Li, Fritz Redeker
  • Patent number: 6429130
    Abstract: A method and an apparatus for determining end point in a chemical mechanical polishing process by utilizing two separate laser beams are provided. When two separate laser beams of different wavelengths are utilized, the difference in the wavelengths is at least about 50 nm. For instance, one wavelength may be about 633 nm, while the other wavelength may be about 700˜950 nm. When two laser beams of different incident angles are utilized, the difference in the angles may be at least 2°, and preferably at least 5°.
    Type: Grant
    Filed: November 29, 1999
    Date of Patent: August 6, 2002
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventor: Jui-Ping Chuang
  • Patent number: 6429135
    Abstract: The semiconductor wafer includes a substrate, a gate positioned on the substrate, a cap layer positioned on top of the gate, and a silicon oxide spacer positioned around both the gate and the cap layer. Firstly, a dielectric layer is formed on the semiconductor wafer to cover the gate. An etching back process is then performed to remove portions of both the dielectric layer and the silicon oxide spacer. Finally, a silicon nitride spacer is formed on the dielectric layer around the cap layer. The silicon nitride spacer is positioned on the surface of the dielectric layer and functions in reducing stress between the silicon nitride spacer and the substrate.
    Type: Grant
    Filed: January 5, 2001
    Date of Patent: August 6, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Horng-Nan Chern, Kun-Chi Lin
  • Patent number: 6429146
    Abstract: In connection with wafer planarization, an apparatus for forming a layer of material having a substantially uniform thickness and substantially parallel first and second major surfaces includes a pair of pressing elements and a stop. Each of the pair of pressing elements has a flat pressing surface. The pressing surfaces are opposed to one another and operable to compress a quantity of the material therebetween. The stop is positioned at least partially between the pressing surfaces and has a thickness substantially equal to the desired uniform thickness of the layer. The stop is positioned to establish a spacing between the flat pressing surfaces that is substantially equal to the thickness of the stop and thereby to the desired uniform thickness of the layer when the pressing elements engage the stop.
    Type: Grant
    Filed: August 14, 2001
    Date of Patent: August 6, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Guy T. Blalock, Hugh E. Stroupe, Brian F. Gordon
  • Patent number: 6429133
    Abstract: A planarization method includes providing an aluminum-containing surface and positioning it for contact with a fixed abrasive article in the presence of a composition preferably including a surfactant, a complexant, and an oxidant, wherein the solution has a pH of less than about 10.
    Type: Grant
    Filed: August 31, 1999
    Date of Patent: August 6, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Dinesh Chopra
  • Publication number: 20020090745
    Abstract: A simple method for calculating the optimum amount of HDP deposited material that needs to be removed during CMP (without introducing dishing) is described. This method derives from our observation of a linear relationship between the amount of material that needs to be removed in order to achieve full planarization and a quantity called “OD for CMP density”. The latter is defined as PA×(100−PS) where PA is the percentage of active area relative to the total wafer area and PS is the percentage of sub-areas relative to the total wafer area. The sub-areas are regions in the dielectric, above the active areas, that are etched out prior to CMP. Thus, once the materials have been characterized, the optimum CMP removal thickness is readily calculated for a wide range of different circuit implementations.
    Type: Application
    Filed: March 28, 2001
    Publication date: July 11, 2002
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY
    Inventors: Hway-Chi Lin, Yu-Ku Lin, Wen-Pin Chang, Ying-Lang Wang
  • Patent number: 6417102
    Abstract: In accordance with one aspect of the invention, a semiconductor processing method of treating a semiconductor wafer provides a wafer within a volume of liquid. The wafer has some electrically conductive material formed thereover. The volume of liquid within the chamber with the wafer therein is established at a pressure of greater than 1 atmosphere and at a temperature of at least 200° C. and below and within 10% of the melting point of the electrically conductive material. In accordance with another aspect, the volume of liquid within the chamber with the wafer therein is established at a pressure of greater than 1 atmosphere. After establishing the pressure of greater than 1 atmosphere, the pressure of the volume of liquid is lowered to a point effective to vaporize said liquid and the vapor is withdrawn from the chamber.
    Type: Grant
    Filed: June 26, 2000
    Date of Patent: July 9, 2002
    Assignee: Micron Technology, Inc.
    Inventors: David A. Cathey, Mark Durcan
  • Patent number: 6413866
    Abstract: A method of enriching the surface of a substrate with a solute material that was originally dissolved in the substrate material, to yield a uniform dispersion of the solute material at the substrate surface. The method generally entails the use of a solvent material that is more reactive than the solute material to a chosen reactive agent. The surface of the substrate is reacted with the reactive agent to preferentially form a reaction compound of the solvent material at the surface of the substrate. As the compound layer develops, the solute material segregates or diffuses out of the compound layer and into the underlying substrate, such that the region of the substrate nearest the compound layer becomes enriched with the solute material. At least a portion of the compound layer is then removed without removing the underlying enriched region of the substrate.
    Type: Grant
    Filed: March 15, 2000
    Date of Patent: July 2, 2002
    Assignee: International Business Machines Corporation
    Inventors: Horatio S. Wildman, Lawrence A. Clevenger, Chenting Lin, Kenneth P. Rodbell, Stefan Weber, Roy C. Iggulden, Maria Ronay, Florian Schnabel
  • Publication number: 20020081417
    Abstract: There are disclosed a semiconductor wafer which has undulation components on wafer back surface and/or wafer front surface of 10 &mgr;m3 or less represented in terms of power spectrum density at least for the components at a wavelength of 10 mm; method for producing a semiconductor wafer by polishing front surface of the semiconductor wafer which is held at its back surface, which utilizes a semiconductor wafer to be polished having undulation components on wafer back surface of 10 &mgr;m3 or less represented in terms of power spectrum density at least for the components at a wavelength of 10 mm; and wafer chuck provided with a holding surface for holding a wafer by chucking, wherein the holding surface has undulation components of 10 &mgr;m3 or less represented in terms of power spectrum density at least for the components at a wavelength of 10 mm.
    Type: Application
    Filed: December 11, 2001
    Publication date: June 27, 2002
    Applicant: Shin-Etsu Handotai Co., Ltd.
    Inventors: Takehito Ushiki, Hitoshi Tsunoda
  • Patent number: 6410403
    Abstract: A method of planarizing an isolation region. Key elements of the invention include the two chemical-mechanical polish (CMP) steps and the CMP stop structure comprised of a sacrificial oxide layer and the second nitride layer. First a pad oxide layer, a first nitride layer, a sacrificial oxide layer and a second nitride layer are formed over a substrate. A trench is formed through the pad oxide layer, the first nitride layer, the sacrificial oxide layer and the second nitride layer and in the substrate. An oxide layer is deposited filling the trench and over the second nitride layer. The oxide layer is preferably formed by a high density plasma chemical vapor deposition (HDPCVD) deposition. In a first CMP step, we chemical-mechanical polish the oxide layer and the second nitride layer down to a level. The second nitride layer and the sacrificial oxide layer are then removed.
    Type: Grant
    Filed: November 2, 2000
    Date of Patent: June 25, 2002
    Assignee: ProMos Technologies, Inc.
    Inventor: Chao-Chueh Wu
  • Patent number: 6410443
    Abstract: The present invention provides a method for selectively removing anti-reflective coating (ARC) from the surface of a dielectric layer over the surface of a substrate without scratching the dielectric layer and/or tungsten conductive contacts formed therein. In one embodiment, a chemical-mechanical polishing (CMP) process with non-oxidizer containing slurry is used to selectively remove the ARC layer at a rate which is significantly faster than the removal rates of the dielectric layer or the tungsten contacts. Further, an ARC CMP buffing process is used with a soft buffing pad in the CMP process to buff the dielectric layer and tungsten contacts during the ARC layer removal.
    Type: Grant
    Filed: February 22, 2000
    Date of Patent: June 25, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Steven C. Avanzino, Stephen Keetai Park, Kashmir S. Sahota, David H. Matsumoto, Mark Ramsbey
  • Patent number: 6403486
    Abstract: A method is disclosed to form a shallow trench isolation (STI) without reverse short channel effect. This is accomplished by forming oxidized polysilicon spacers in the dielectric layers above the trench, while also employing a thermal oxide liner on the inside walls of the trench in the substrate. The polyoxide spacers and the thermal oxide liner together prevent the undercutting at the corners or shoulders of the trench, thereby avoiding the common problem of having reverse short channel effect.
    Type: Grant
    Filed: April 30, 2001
    Date of Patent: June 11, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Chine-Gie Lou
  • Publication number: 20020068435
    Abstract: A method for removing carbon-rich particles adhered on the exposed copper surface of a copper/low k dielectric dual damascene structure is provided. A barrier layer and a barrier-CMP stopping layer are formed between the copper layer and the low k dielectric layer of the dual damascene structure. After a Cu-CMP process and a barrier CMP process are completed, a chemical buffing polishing process using a basic solution under a downward force of about 0.5 to 3 psi is performed to remove carbon-rich particles adhered on the exposed copper surface due to the low k dielectric having at least 90% carbon element being exposed and then polished during the Cu-CMP process and the barrier CMP process, which results from a dishing phenomenon of the copper layer occurring during the two CMP processes. Finally, a post chemical mechanical polishing cleaning process is performed to remove away dirt left on the exposed copper surface.
    Type: Application
    Filed: December 5, 2000
    Publication date: June 6, 2002
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Teng-Chun Tsai, Chia-Lin Hsu, Yung-Tsung Wei, Ming-Sheng Yang
  • Publication number: 20020068455
    Abstract: A method for removing carbon-rich particles adhered on a copper surface, especially on a copper surface of a copper/low k dielectric dual damascene structure is provided. A barrier layer and a barrier-CMP stopping layer are formed between the copper layer and the low k dielectric layer of the dual damascene structure. After a Cu-CMP process and a barrier CMP process, a chemical buffing polishing process using an acidic aqueous solution under a downward force of about 0.5 to 3 psi is performed to remove carbon-rich particles adhered on the exposed copper surface, which is due to the low k dielectric layer having at least 90% carbon element being exposed and then polished during the Cu-CMP process and the barrier CMP process, resulting from a dishing phenomenon of the copper layer occurring during the two CMP processes. Alternately, a first chemical buffing polishing process is followed after the Cu-CMP process, and a second chemical buffing polishing process is followed after the barrier CMP process.
    Type: Application
    Filed: December 5, 2000
    Publication date: June 6, 2002
    Applicant: United Microelectronics Corp.
    Inventors: Teng-Chun Tsai, Chia-Lin Hsu, Yung-Tsung Wei, Ming-Sheng Yang
  • Patent number: 6399503
    Abstract: The present invention provides a method of preventing the dishing phenomenon occurring atop a dual damascene structure on a semiconductor wafer. The semiconductor has a substrate, a first dielectric layer positioned on the substrate, a dual damascene hole positioned in the first dielectric layer through to the surface of the substrate, a barrier layer covering the surface of the first dielectric layer and both the surface of the walls and bottom of the dual damascene hole, and a copper layer positioned on the barrier layer and filling the dual damascene hole to form the dual damascene structure. The method first involves performing a first chemical mechanical polishing (CMP) process to remove portions of the copper layer down to the surface of the barrier layer. A photoresist layer is then formed atop the dual damascene structure to remove portions of the barrier layer uncovered by the photoresist layer.
    Type: Grant
    Filed: January 19, 2001
    Date of Patent: June 4, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Kun-Lin Wu, J. J. Huang
  • Publication number: 20020064959
    Abstract: The present invention provides a method of planarization for an inter layer dielectric of an EDRAM. The method comprises defining a periphery circuit region and a memory array area on a semiconductor wafer of the EDRAM, and forming a plurality of MOS transistors and capacitors. As well, both a dielectric layer and a photoresist layer are formed on the semiconductor wafer using the layout patterns of a storage node of the capacitors as a reverse mask to perform an etching process. Consequently, portions of the photoresist layer in the memory array area are removed while simultaneously etching the dielectric layer in the memory array area by a predetermined depth. Finally, a chemical mechanical polishing process is performed on the dielectric layer to planarize the inter layer dielectric of the EDRAM.
    Type: Application
    Filed: November 29, 2000
    Publication date: May 30, 2002
    Inventors: Sun-Chieh Chien, De-Yuan Wu, Yung-Chung Lin
  • Publication number: 20020064960
    Abstract: A method fabricates an integrated semiconductor product. The first step is providing a semiconductor wafer that has preformed semiconductor components. The next step is forming at least one connection, in particular a polysilicon connection. The next step is exposing the at least one connection from the wafer front surface. The next step is applying a protective layer, in particular a silicon nitride protective layer, to the wafer front surface. The next step is treating the wafer front surface by a chemical mechanical polishing (CMP) step, with the result that the at least one connection is made accessible again.
    Type: Application
    Filed: November 27, 2001
    Publication date: May 30, 2002
    Inventor: Joachim Hoepfner
  • Patent number: 6395636
    Abstract: The present invention provides a method for improving the planarization of a top layer deposited over a patterned layer on a semiconductor wafer. The patterned layer may include both small and large features. Openings, grooves, or trenches are etched partially or completely through certain larger target features in the patterned layer in an effort to mimic the topography of areas where the patterned layer includes smaller features. Subsequent deposition of the top layer may result in a more consistent or regular topography across the surface of the top layer. Accordingly, high areas on the top layer that contact a polishing pad of a CMP system will tend to be removed at a similar rate since the pressure exerted by each of the high areas will be similar.
    Type: Grant
    Filed: January 9, 2001
    Date of Patent: May 28, 2002
    Assignee: Honeywell International Inc.
    Inventor: Eric Ian Hanson
  • Patent number: 6391780
    Abstract: A process for manufacturing damascene wiring in integrated circuits is described. Trenches in the top most layer are first over-filled with a soft metal (such as copper) and then a relatively thin layer of a hard material such as tantalum, tantalum nitride, titanium, titanium nitride etc is deposited on the copper surface Under a first set of control conditions CMP is then applied for just long enough to selectively remove this hard material layer from peaks in the copper surface while leaving it intact in the valleys. The control conditions for CMP are then adjusted so that CMP can proceed with material at the peaks being removed at a significantly faster rate than in the valleys. Thus, when the point is reached that all copper outside the trenches has been removed, the trenches are found to be just filled with a flat layer that has no dishing.
    Type: Grant
    Filed: August 23, 1999
    Date of Patent: May 21, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Tsu Shih, Ying-Ho Chen, Jih-Churng Twu
  • Patent number: 6391718
    Abstract: A method to planarize a flash memory device, wherein the method is applied on a substrate having a polysilicon layer and a cap layer sequentially formed thereon. Thereafter, the cap layer and the polysilicon layer are patterned to form the peripheral circuit region and the memory cell region. A dielectric layer is then formed on the substrate, covering the cap layer. A portion of the dielectric layer is further removed to expose a part of the cap layer, such that the dielectric layer above the cap layer and the dielectric layer on both sides of the cap layer become separated. A portion of the dielectric layer in the peripheral circuit region is then removed, followed by forming a photoresist layer on the substrate such that a portion of the dielectric layer in the peripheral circuit region and in the memory cell region is exposed. The dielectric layer exposed by the photoresist layer is then removed, followed by removing the photoresist layer.
    Type: Grant
    Filed: February 20, 2001
    Date of Patent: May 21, 2002
    Assignee: Macronix International Co., Ltd.
    Inventor: Pei-Ren Jeng
  • Patent number: 6392787
    Abstract: An improved lithographic process for fabricating articles comprising photonic band gap materials with micron-scale periodicities is provided, the process readily capable of being performed by current lithographic processes and equipment. The process involves providing a three-dimensional structure made up of a plurality of stacked layers, where each layer contains a substantially planar lattice of shapes of a first material, typically silicon, with interstices between the shapes. Each shape contacts at least one shape of an adjacent layer, the interstices throughout the plurality of layers are interconnected, and the interstices comprise a second material, e.g., silicon dioxide. Typically, the second material is etched from the interconnected interstices to provide a structure of the first material and air, this structure designed to provide a particular photonic band gap.
    Type: Grant
    Filed: September 1, 2000
    Date of Patent: May 21, 2002
    Assignee: Agere Systems Guardian Corp.
    Inventors: Raymond A. Cirelli, Omkaram Nalamasu, Sanjay Patel, Stanley Pau, George P Watson, Christopher Alan White, Robert Waverly Zehner
  • Patent number: 6387813
    Abstract: A method for stripping a low dielectric film with a high carbon content from silicon monitor chip. The silicon monitor chip is placed inside a plasma-enhanced chemical vapor deposition chamber and the surface is treated with oxygen plasma to form a silicon-rich oxide layer. A high-carbon-content low dielectric film is formed over the silicon-rich oxide for film quality inspection. After the film inspection, the silicon monitor chip is immersed in a solution containing ammonium hydroxide and hydrogen peroxide so that the surface of the high-carbon-content dielectric film is transformed from hydrophobic to hydrophilic. Hence, wetting capacity of subsequently applied hydrofluoric acid solution is enhanced. Finally, the silicon monitor chip is immersed in a hydrofluoric acid solution for stripping away the low dielectric film.
    Type: Grant
    Filed: November 22, 2000
    Date of Patent: May 14, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Neng-Hui Yang, Ming-Sheng Yang, Chih-Chien Liu
  • Patent number: 6387810
    Abstract: In a fabrication process, photoresist is disposed over a semiconductor substrate (10), covering a front surface (11) of the substrate (10) and filling trenches (12, 14, 16, 18) therein. The photoresist is planarized in chemical mechanical polishing to achieve a uniform thickness throughout the substrate (10). An anisotropic etching process partially removes the photoresist in the trenches (12, 14, 16, 18), thereby creating recesses in the trenches (12, 14, 16, 18). Because the thickness of the photoresist is uniform throughout the substrate (10) before the etching process, the depths of the recesses in different trenches (12, 14, 16, 18) are substantially equal to each other. A uniform recess depth throughout the substrate (10) is thereby achieved. The uniform recess depth facilitates in ensuring the semiconductor devices fabricated on the substrate (10) to have consistent parameters, characteristics, and performances.
    Type: Grant
    Filed: June 28, 1999
    Date of Patent: May 14, 2002
    Assignee: International Business Machines Corporation
    Inventors: Gary J. Beardsley, Zhong X. He, Cuc K. Huynh, Michael P. McMahon
  • Publication number: 20020052066
    Abstract: An SOI layer is thinned without a thermal oxidation process. An SOI substrate (10) is immersed in an etching bath filled with an NH3—H2O2—H2O solution to be isotropically etched. This produces a 100-nm thick SOI layer (3) with no crystal defect.
    Type: Application
    Filed: May 13, 1999
    Publication date: May 2, 2002
    Inventors: TAKASHI IPPOSHI, TOSHIAKI IWAMATSU
  • Patent number: 6380068
    Abstract: A method to planarize a flash memory device, wherein the method is applied on a substrate having a polysilicon layer and a cap layer sequentially formed thereon. Thereafter, the cap layer and the polysilicon layer are patterned to form the peripheral circuit region and the memory cell region. A dielectric layer is then formed on the substrate, covering the cap layer. A portion of the dielectric layer is further removed to expose a part of the cap layer, such that the dielectric layer above the cap layer and the dielectric layer on both sides of the cap layer become separated. A portion of the dielectric layer in the peripheral circuit region is then removed, followed by removing the cap layer, wherein the dielectric layer above the cap layer is concurrently removed to complete the planazation of the flash memory device.
    Type: Grant
    Filed: February 14, 2001
    Date of Patent: April 30, 2002
    Assignee: Macronix International Co., Ltd.
    Inventors: Pei-Ren Jeng, Shu Li Wu
  • Patent number: 6380088
    Abstract: An improved MOS transistor and method of making an improved MOS transistor. An MOS transistor having a recessed source drain on a trench sidewall with a replacement gate technique. Holes are formed in the shallow trench isolations, which exposes sidewall of the substrate in the active area. Sidewalls of the substrate are doped in the active area where holes are. Conductive material is then formed in the holes and the conductive material becomes the source and drain regions. The etch stop layer is then removed exposing sidewalls of the conductive material, and oxidizing exposed sidewalls of the conductive material is preformed. Spacers are formed on top of the pad oxide and on the sidewalls of the oxidized portions of the conductive material. The pad oxide layer is removed from the structure but not from under the spacers. A gate dielectric layer is formed on the substrate in the active area between the spacers; and a gate electrode is formed on said gate dielectric layer.
    Type: Grant
    Filed: January 19, 2001
    Date of Patent: April 30, 2002
    Assignee: Chartered Semiconductor Manufacturing, Inc.
    Inventors: Lap Chan, Elgin Quek, Ravi Sundaresan, Yang Pan, James Yong Meng Lee, Ying Keung Leung, Yelehanka Ramachandramurthy Pradeep, Jia Zhen Zheng
  • Patent number: 6376377
    Abstract: Within a method for removing from over a substrate a chemical mechanical polish (CMP) residue layer there is first provided a substrate. There is then formed over the substrate: (1) a chemical mechanical polish (CMP) substrate layer having an aperture formed therein; (2) a chemical mechanical polish (CMP) planarized patterned layer formed within the aperture within the chemical mechanical polish (CMP) substrate layer; and (3) a chemical mechanical polish (CMP) residue layer formed upon at least one of the chemical mechanical polish substrate layer and the chemical mechanical polish (CMP) planarized patterned layer, where at least one of the chemical mechanical polish (CMP) substrate layer and the chemical mechanical polish (CMP) planarized patterned layer has a first aqueous contact angle.
    Type: Grant
    Filed: April 3, 2000
    Date of Patent: April 23, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Weng Chang, Ying-Ho Chen, Jih-Churng Twu, Syun-Ming Jang
  • Publication number: 20020045337
    Abstract: An insulating layer can be formed on first and second adjacent regions of an integrated circuit having a first step difference therebetween, the first and second regions having first and second respective etch rates associated therewith. A recess can be formed in the insulating layer on the second region having a second step difference with the first region that is less than the first step difference to provide a portion of the insulating layer between the first and second adjacent regions having a third step difference with the first region that is greater than the second step difference. A width of the portion is selected based on a difference between the first and second etch rates.
    Type: Application
    Filed: July 27, 2001
    Publication date: April 18, 2002
    Inventors: Gee-won Nam, Gi-jong Park, Hong-kyu Hwang, Jun-shik Bae, Young-rae Park, Jung-yup Kim, Bo-un Yoon, Sang-rok Hah
  • Patent number: 6372626
    Abstract: A step height between first and second elevated conductive lines that are laterally spaced apart on an integrated circuit substrate may be reduced by forming a dummy conductive line beneath the second conductive line, to further elevate the second conductive line on the integrated circuit substrate. Depth-of-focus may thereby be improved so that reliability of the conductive lines may also be improved. The second conductive line and the dummy conductive line vertically overlap by an amount that is less than one half the width of the second conductive line. Thus, the capacitance between the second conductive line and the dummy conductive line may be reduced. Undue delay therefore need not be created by introduction of the dummy conductive line.
    Type: Grant
    Filed: July 27, 1999
    Date of Patent: April 16, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Bong-seok Chae, Kye-hyun Kyung
  • Patent number: 6368906
    Abstract: A method for planarizing an interlayer dielectric layer formed on a semiconductor substrate having a step, using wet etch, by depositing first and second layers on the semiconductor substrate and selectively curing the second layer in the lower area using electron beams (E-beams). The second layer, e.g., an SOG layer formed of HSQ, has a lower etch rate during the wet etch in the cured area, to thereby easily planarize the substrate of the interlayer dielectric layer.
    Type: Grant
    Filed: December 9, 1998
    Date of Patent: April 9, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hong-jae Shin, Ju-seon Goo
  • Patent number: 6368971
    Abstract: A method of manufacturing a bottom electrode of a capacitor. A substrate has a contact pad formed thereon, a first dielectric layer is formed on the contact pad, and a node contact penetrates through the first dielectric layer and electrically couples to the contact pad. A second dielectric layer is formed on the first dielectric layer and the node contact. A third dielectric layer is formed on the second dielectric layer. A fourth dielectric layer is formed on the third dielectric layer. A trench is formed to penetrate through the fourth, the third and the second dielectric layer and to expose a surface of the node contact. A conductive layer is formed on the fourth dielectric layer and a sidewall and a bottom of the trench. A fifth dielectric layer is formed on the conductive layer, wherein the fifth dielectric layer fills the trench. A portion of the fifth dielectric layer and a portion of the conductive layer are removed until a surface of the fourth dielectric layer is exposed.
    Type: Grant
    Filed: July 7, 1999
    Date of Patent: April 9, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Sun-Chieh Chien, Chien-Li Kuo, Wei-Wu Liao
  • Patent number: 6365520
    Abstract: The present invention provides a chemical mechanical polishing slurry for the planarization of shallow trench isolation structures and other integrated circuit structures. The chemical mechanical polishing slurry of this invention comprises small abrasive particles having a mean diameter of between about 2 and 30 nm and large abrasive particles having a mean diameter of between 2 and 10 times larger than the mean diameter of the small abrasive particles. In use, the chemical mechanical polishing slurry of this invention can also include viscosity additives and etchants.
    Type: Grant
    Filed: July 20, 2000
    Date of Patent: April 2, 2002
    Assignee: Rodel Holdings Inc.
    Inventors: Robert L. Rhoades, Robert C. Roberts, Paul J. Yancey
  • Patent number: 6365523
    Abstract: A method for forming a series of patterned planarized aperture fill layers within a series of apertures within a topographic substrate layer employed within a microelectronics fabrication. There is first provided a topographic substrate layer employed within a microelectronics fabrication, where the topographic substrate layer comprises a series of mesas of substantially equivalent height but of differing widths and the series of mesas is separated by a series of apertures. There is then formed upon the topographic substrate layer a blanket first aperture fill layer. The blanket first aperture fill layer is formed employing a first simultaneous deposition and sputter method.
    Type: Grant
    Filed: October 22, 1998
    Date of Patent: April 2, 2002
    Assignee: Taiwan Semiconductor Maufacturing Company
    Inventors: Syun-Ming Jang, Chu-Yun Fu, Ying-Ho Chen
  • Patent number: 6362100
    Abstract: A method and apparatus for fabricating electrochemical copper interconnections between the component parts of an integrated circuit on a semiconductor device. A cathodic platter is provided that includes contact pins that contact the surface of a semiconductor wafer at predetermined locations during the electrochemical deposition process. The contact pins are arranged on the cathodic platter so that when placed on the surface of the semiconductor wafer the contact pins surround the perimetrical edges of each respective semiconductor device on the semiconductor wafer. Once the semiconductor wafer is properly positioned on the cathodic platter, a copper conductive layer can be electrochemically and uniformly deposited on the surface of the semiconductor device.
    Type: Grant
    Filed: June 8, 2000
    Date of Patent: March 26, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Takeshi Nogami, Axel Preusse, Valery Dubin
  • Patent number: 6355566
    Abstract: A method for removing a surface defect from a dielectric layer during the formation of a semiconductor device comprises the steps of forming a dielectric layer having a hole therein, the dielectric also having a surface defect resulting from a previous manufacturing step such as chemical mechanical polish, contact with another surface during production, or from a manufacturing defect. A blanket conductive layer is then formed within the hole, within the surface defect, and over the dielectric layer. The conductive layer is etched from the surface of the dielectric using an etch which removes the conductive layer at a substantially faster rate than it removes the dielectric. This etch is stopped when the level of conductive material in the plug is flush with the upper surface of the dielectric. Next, the conductive and dielectric layers are etched using a dry or plasma etch which removes the conductive and dielectric layers at about the same rate.
    Type: Grant
    Filed: May 8, 2001
    Date of Patent: March 12, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Bradley J. Howard, Mark E. Jost, Guy Blalock
  • Patent number: 6352817
    Abstract: The present invention relates to a method for mitigating T-tops and/or stringers and/or crusts in a structure. A photoresist layer of the structure is exposed. The structure further includes an underlayer under the photoresist layer, and a substrate under the underlayer. A chemical mechanical polishing process is employed to remove a predetermined thickness of the photoresist layer. An underlayer etch is performed to remove select portions of the underlayer.
    Type: Grant
    Filed: October 21, 1999
    Date of Patent: March 5, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bharath Rangarajan, Bhanwar Singh, Steven Avanzino
  • Publication number: 20020025679
    Abstract: In order to prevent a capacitance element from suffering fluctuation in the capacitance value and deterioration of the reliability caused in the step for planarizing the surface of the substrate after forming the capacitance element, there is provided a process for fabricating a semiconductor device, in which an insulator is formed on a semiconductor substrate; a first wiring layer to be a lower portion electrode; a Ta2O5 layer to be a dielectric film; a second wiring layer to be an upper portion electrode are successively formed; a pattern for the dielectric film and upper portion electrode is formed; a pattern for the lower portion electrode is subsequently formed; an SiN film is formed as a protective film; and planarization is conducted by etching back a spin on glass (SOG).
    Type: Application
    Filed: June 8, 2001
    Publication date: February 28, 2002
    Inventor: Hirokazu Ejiri
  • Patent number: 6350694
    Abstract: A new plasma etch back is provided that is applied to the surface of a low-k dielectric after the process of CMP of a copper surface has been completed. The copper surface is the surface of interconnect metal, the interconnect metal is embedded in the layer of low-k dielectric.
    Type: Grant
    Filed: March 22, 2001
    Date of Patent: February 26, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Weng Chang, Tien-I Bao, Syun-Ming Jang
  • Patent number: 6348415
    Abstract: This invention discloses a planarization method for semiconductor device. The planarization method includes the steps of: providing a semiconductor substrate in which metal patterns are formed with various pattern densities; depositing a porous oxide layer over the semiconductor substrate so as to cover the metal patterns; plasma-treating surface of the porous oxide layer; and polishing the plasma-treated porous oxide layer by chemical mechanical polishing.
    Type: Grant
    Filed: December 27, 1999
    Date of Patent: February 19, 2002
    Assignee: Dongbu Electronics Co., Ltd.
    Inventors: Tae Young Lee, Jae Suk Lee
  • Patent number: 6348421
    Abstract: Substrate bombardment during HDP deposition of carbon-doped silicon oxide film results in filling the gaps between metal lines with carbon-doped low k dielectric material. This leads to the placement of low k dielectric between the narrow metal lines while the films over the metal lines have higher dielectric constant due to removal of carbon from these films during ion bombardment. Films over the metal lines have properties similar to silicon dioxide and are ready for sequential integration processes.
    Type: Grant
    Filed: June 16, 1999
    Date of Patent: February 19, 2002
    Assignee: National Semiconductor Corporation
    Inventors: Jen Shu, Michael E. Thomas
  • Patent number: 6346485
    Abstract: A method of processing a semiconductor wafer sliced from a monocrystalline ingot comprises at least the steps of chamfering, lapping, etching, mirror-polishing, and cleaning. In the etching step, alkali etching is first performed and then acid etching, preferably reaction-controlled acid etching, is performed. The etching amount of the alkali etching is greater than the etching amount of the acid etching. Alternatively, in the etching step, reaction-controlled acid etching is first performed and then diffusion-controlled acid etching is performed. The etching amount of the reaction-controlled acid etching is greater than the etching amount of the diffusion-controlled acid etching.
    Type: Grant
    Filed: August 7, 2000
    Date of Patent: February 12, 2002
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Takashi Nihonmatsu, Seiichi Miyazaki, Masahiko Yoshida, Hideo Kudo, Tadahiro Kato
  • Patent number: 6337271
    Abstract: The invention provides a polishing simulation in which calculation is proceeded while polishing rate parameter is successively updated as an offset shape of a substrate varies as polishing proceeds. When an uneven surface of a substrate is to be leveled by polishing, a deformation amount of a polishing cloth is determined on the assumption that a deformed shape of the polishing cloth by a convex of the substrate is a truncated cone, and a distribution of a polishing force is determined based on the deformation amount of the polishing cloth. Then, a distribution of a polishing amount of the substrate after a fixed interval of time is determined from the distribution of the polishing pressure, and a distribution of a height of the substrate is determined from the distribution of the polishing amount after the fixed interval of time. Finally, an expression for determination of an offset of the substrate is determined from the distribution of the height of the substrate.
    Type: Grant
    Filed: August 28, 1998
    Date of Patent: January 8, 2002
    Assignee: Sony Corporation
    Inventor: Hiroshi Takahashi
  • Patent number: 6335288
    Abstract: A method and apparatus are disclosed for depositing a dielectric film in a gap having an aspect ratio at least as large as 6:1. By cycling the gas chemistry of a high-density-plasma chemical-vapor-deposition system between deposition and etching conditions, the gap may be substantially 100% filled. Such filling is achieved by adjusting the flow rates of the precursor gases such that the deposition to sputtering ratio during the deposition phases is within certain predetermined limits.
    Type: Grant
    Filed: August 24, 2000
    Date of Patent: January 1, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Michael Kwan, Eric Liu
  • Publication number: 20010051435
    Abstract: An oxygen ion process, Chemical Reactive-Ion Surface Planarization (CRISP), has been developed which enables planarization of thin film surfaces at the atomic level. Narrow/broad band filters produced with vacuum deposited multilayered thin films are designed to selectively reflect/transmit light at specific wavelengths. The optical performance is limited by the ability to control the individual layer thickness, the “roughness” of the individual layer surfaces and the stoichiometry of the layers. The process described herein will enable reduction of surface roughness at the interfaces of multilayered thin films to produce atomically smooth surfaces. The application of this process will result in the production of notch filters of less than 0.3 nm full width at half maximum (FWHM) centered at the desired wavelength.
    Type: Application
    Filed: May 24, 2001
    Publication date: December 13, 2001
    Applicant: Atomic Telecom
    Inventors: Gerald T. Mearini, Laszlo Takacs