Planarization By Etching And Coating Patents (Class 438/697)
  • Patent number: 6809032
    Abstract: In another aspect of the present invention, a system for detecting an endpoint in a polishing process is provided. The system comprises a polishing tool, a controllable light source, a sensor, and a controller. The polishing tool is capable of polishing a surface of a semiconductor device, wherein the semiconductor device includes a first layer comprised of a first material and a second layer comprised of a second material. The first layer is positioned above the second layer. The controllable light source is capable of delivering light having one of a plurality of a preselected frequencies to the surface of the semiconductor device. The sensor is capable of detecting the light reflected from the surface of the semiconductor device.
    Type: Grant
    Filed: May 1, 2002
    Date of Patent: October 26, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Frank Mauersberger, Peter J. Beckage, Paul R. Besser, Frederick N. Hause, Errol Todd Ryan, William S. Brennan, John A. Iacoponi
  • Patent number: 6809033
    Abstract: One aspect of the invention relates to a method of removing a hard mask from a surface, especially a silicon surface. The hard mask is removed by first applying a sacrificial coating and then plasma etching. The sacrificial material fills pattern gaps formed using the hard mask and protects insulators, such as oxides, within those pattern gaps. The sacrificial material is removed together with the hard mask by the plasma etching. The invention provides a process for removing hard masks from silicon layers without significantly damaging either the silicon layer or any exposed oxides and can be applied in a variety of integrated circuit device manufacturing processes, such as patterning the floating gate layer of a flash memory device.
    Type: Grant
    Filed: November 7, 2001
    Date of Patent: October 26, 2004
    Assignee: FASL, LLC
    Inventors: Angela Hui, Jusuke Ogura
  • Patent number: 6809031
    Abstract: In a method for manufacturing a test pattern wafer, a silicon substrate is provided. A sacrificial oxide layer is deposited over the silicon substrate, and simulated transistor structure test features are fabricated into and on the sacrificial oxide layer. Chemical mechanical polishing characterization is performed using the test pattern wafer which provides data for the characterization of the chemical mechanical polishing. The sacrificial oxide layer is then stripped along with the simulated transistor structure test features, allowing the silicon substrate to be reclaimed and to be used in the fabrication of subsequent test pattern wafers.
    Type: Grant
    Filed: December 27, 2000
    Date of Patent: October 26, 2004
    Assignee: Lam Research Corporation
    Inventor: Michael S. Lacy
  • Publication number: 20040209475
    Abstract: A method of manufacturing semiconductor devices using an improved chemical mechanical planarization process for the planarization of the surfaces of the wafer on which the semiconductor devices are formed. The improved chemical mechanical planarization process includes the formation of a flat planar surface from a deformable coating on the surface of the wafer filling in between the surface irregularities prior to the planarization of the surface through a chemical mechanical planarization process.
    Type: Application
    Filed: May 4, 2004
    Publication date: October 21, 2004
    Inventors: Trung T. Doan, Guy T. Blalock, Mark Durcan, Scott G. Meikle
  • Patent number: 6803316
    Abstract: Methods of oxidizing the surface of a photoresist material on a semiconductor substrate to alter the photoresist material surface to be substantially hydrophilic. Oxidation of the photoresist material surface substantially reduces or eliminates stiction between a planarizing pad and the photoresist material surface during chemical mechanical planarization. This oxidation of the photoresist material may be achieved by oxygen plasma etching or ashing, by immersing the semiconducter substrate in bath containing an oxidizing agent, or by the addition of an oxidizing agent to the chemical slurry used during planarization of the resist material.
    Type: Grant
    Filed: June 4, 2003
    Date of Patent: October 12, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Guy F. Hudson, Michael A. Walker
  • Publication number: 20040198016
    Abstract: In one aspect, the invention includes a method of forming a void region associated with a substrate, comprising: a) providing a substrate; b) forming a sacrificial mass over the substrate; c) subjecting the mass to hydrogen to convert a component of the mass to a volatile form; and d) volatilizing the volatile form of the component from the mass to leave a void region associated with the substrate.
    Type: Application
    Filed: April 19, 2004
    Publication date: October 7, 2004
    Inventor: Jerome Michael Eldridge
  • Patent number: 6800560
    Abstract: A method for moving resist stripper across the surface of a semiconductor substrate. The method includes applying a wet chemical resist stripper, such as an organic or oxidizing wet chemical resist stripper, to at least a portion of a photomask positioned over the semiconductor substrate. A carrier fluid, such as a gas, is then directed toward the semiconductor substrate so as to move the resist stripper across the substrate. The carrier fluid may be directed toward the substrate as the resist stripper is being applied thereto or following application of the resist stripper. A system for effecting the method is also disclosed.
    Type: Grant
    Filed: June 10, 2003
    Date of Patent: October 5, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Terry L. Gilton
  • Publication number: 20040192053
    Abstract: In an etching method and apparatus, a plasma etching is performed on an etching target film formed on an object to be processed in a processing chamber by generating a plasma of a processing gas introduced into the airtight processing chamber. A resist including an alicyclic acrylate resin and/or an alicyclic methacrylate resin is used as a mask and wherein the plasma etching is performed while maintaining a surface temperature of the object at about 20° C. or less.
    Type: Application
    Filed: March 31, 2004
    Publication date: September 30, 2004
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Kiwamu Fujimoto
  • Publication number: 20040192054
    Abstract: A method for etching silicon nitride selective to silicon dioxide and silicon (polycrystalline silicon or monocrystalline silicon) comprises the use of oxygen along with an additional etchant of either CHF3 or CH2F2. Flow rates, power, and pressure settings are specified.
    Type: Application
    Filed: April 7, 2004
    Publication date: September 30, 2004
    Inventor: David S. Pecora
  • Publication number: 20040192052
    Abstract: The present invention relates to the planarization of surfaces as typically encountered in the fabrication of integrated circuits, particularly copper conductors and Ta/TaN barrier layers encountered in damascene and dual damascene interconnects. The present invention describes planarization methods for Cu/Ta/TaN interconnects, typically making use of a viscous overlayer tending to dwell in regions of lower surface topography, protecting said lower regions from etching by a combination of chemical and mechanical effects. In some embodiments, the viscous overlayer contains species that hinder removal of copper from regions of the surface in contact with the viscous layer. Such species may be a substantially saturated solution of copper ions among other additives, thereby hindering the dissolution of interconnect copper into the protective overlayer.
    Type: Application
    Filed: November 17, 2003
    Publication date: September 30, 2004
    Inventors: Shyama Mukherjee, Joseph Levert, Donald Debear
  • Publication number: 20040192051
    Abstract: A method of forming a damascene structure including an insulator portion having a barrier layer comprising silicon carbide (SiC) or silicon carbon nitride (SiCN) on a metal wiring layer formed on a substrate. The method includes the steps of supplying a gas mixture comprising trifluoromethane (CHF3) to a chamber accommodating the substrate and generating a plasma in the chamber, thereby forming a via hole communicating with the metal wiring layer through the first layer containing silicon carbide (SiC) or silicon carbon nitride (SiCN).
    Type: Application
    Filed: October 30, 2003
    Publication date: September 30, 2004
    Applicant: Applied Materials, Inc.
    Inventors: Hiroya Tanaka, Yoshio Ishikawa, Keiji Horioka, Yuzuru Ueda, Hidetaka Oshio
  • Patent number: 6797416
    Abstract: In a process for producing a substrate for use in a semiconductor element: a first GaN layer having a plurality of pits at its upper surface is formed; and then a second GaN layer is formed by growing a GaN crystal over the first GaN layer until the upper surface of the second GaN layer becomes flattened. Each of the above plurality of pits has an opening area of 0.005 to 100 &mgr;m2 and a depth of 0.1 to 10.0 &mgr;m.
    Type: Grant
    Filed: March 20, 2003
    Date of Patent: September 28, 2004
    Assignee: Fuji Photo Film Co., Ltd.
    Inventors: Mitsugu Wada, Toshiaki Kuniyasu, Toshiaki Fukunaga
  • Patent number: 6794691
    Abstract: A fabricated multiple layer integrated circuit in which adequate planarization is accomplished using irregularly shaped and properly spaced conductive filler features that are spaced in such a way that capacitive coupling of the conductive filler features with the active conductive regions is reduced. The overall layout area of the conductive filler features is reduced to thereby reduced capacitive coupling with active conductive above and below. In addition, a relatively small edge of the feature is closest to the active conductive in the same conductive layer thereby further reducing capacitive coupling.
    Type: Grant
    Filed: January 21, 2003
    Date of Patent: September 21, 2004
    Assignee: AMI Semiconductor, Inc.
    Inventor: Mark Michael Nelson
  • Patent number: 6794261
    Abstract: In one aspect, the invention includes a method of forming a void region associated with a substrate, comprising: a) providing a substrate; b) forming a sacrificial mass over the substrate; c) subjecting the mass to hydrogen to convert a component of the mass to a volatile form; and d) volatilizing the volatile form of the component from the mass to leave a void region associated with the substrate.
    Type: Grant
    Filed: January 11, 2002
    Date of Patent: September 21, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Jerome Michael Eldridge
  • Patent number: 6790786
    Abstract: The invention includes semiconductor processing methods, including methods of forming capacitors. In one implementation, a semiconductor processing method includes providing a semiconductor substrate comprising a layer comprising at least one metal in elemental or metal alloy form. The metal comprises an element selected from the group consisting of platinum, ruthenium, rhodium, palladium, iridium, and mixtures thereof. At least a portion of the layer is etched in a halogenide, ozone and H2O comprising ambient.
    Type: Grant
    Filed: March 5, 2002
    Date of Patent: September 14, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Paul A. Morgan, Patrick M. Flynn, Janos Fucsko
  • Publication number: 20040171270
    Abstract: This invention relates to a method and apparatus for forming a micromachined device, where a workpiece is plasma etched to define a microstructure. The plasma etching is conducted in the presence of a magnetic field, which can be generated and manipulated by an electric field. The magnetic field effects the electrons present in the plasma by directing them to “collect” on a desired plane or surface of the workpiece. The electrons attract the ions of the plasma to etch the desired region of the workpiece to a greater extent than other regions of the workpiece, thereby enabling the formation of more precise “cuts” in the workpiece to form specific shapes of microstructures. The magnetic field can be controlled in direction and intensity and substrate bias power can also be controlled during etching to precisely and accurately etch the workpiece.
    Type: Application
    Filed: March 9, 2004
    Publication date: September 2, 2004
    Inventor: Neal Rueger
  • Patent number: 6780753
    Abstract: Embodiments of the invention generally provide a method of forming an air gap between conductive elements of a semiconductor device, wherein the air gap has a dielectric constant of approximately 1. The air gap may generally be formed by depositing a dielectric material between the respective conductive elements, depositing a porous layer over the conductive elements and the dielectric material, and then stripping the dielectric material out of the space between the respective conductive elements through the porous layer, which leaves an air gap between the respective conductive elements. The dielectric material may be, for example, an amorphous carbon layer, the porous layer may be, for example, a porous oxide layer, and the stripping process may utilize a downstream hydrogen-based strip process, for example.
    Type: Grant
    Filed: May 31, 2002
    Date of Patent: August 24, 2004
    Assignee: Applied Materials Inc.
    Inventors: Ian S. Latchford, Christopher D. Bencher, Michael D. Armacost, Timothy Weidman, Christopher Ngai
  • Patent number: 6780774
    Abstract: Disclosed herein is a method of semiconductor device isolation, which forms a device isolation film on an isolation region of a substrate using a trench process. The method comprises the steps of providing a semiconductor substrate where a device isolation region was defined; forming a mask on the substrate in such a manner that the device isolation region is exposed through the mask; etching the substrate using the mask to form a trench; thermally treating an inner wall of the trench using the mask under a hydrogen atmosphere; forming a first insulating layer covering the resulting inner wall of the trench; forming a second insulating layer on the mask in such a manner that the second insulating film covers the first insulating film; firstly etching the second insulating layer to expose a surface of the mask; removing the mask; secondly etching the remaining second insulating layer until a surface of the substrate is exposed, thereby forming a device isolation film.
    Type: Grant
    Filed: December 21, 2001
    Date of Patent: August 24, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Soon Kwon
  • Publication number: 20040157458
    Abstract: A planarization method includes providing a metal-containing surface (preferably, a Group VIII metal-containing surface, and more preferably a platinum-containing surface) and positioning it for contact with a polishing surface in the presence of a planarization composition that includes a halogen and a halide salt.
    Type: Application
    Filed: February 3, 2004
    Publication date: August 12, 2004
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Brian A. Vaartstra
  • Patent number: 6774042
    Abstract: A method of planarizing wafers using shallow trench isolation is described. The method uses a very hard polishing pad and chemical mechanical polishing with no additional etching required. Trenches are formed in a substrate and filled with a trench dielectric, such as silicon dioxide deposited using high density plasma chemical vapor deposition. A layer of resist is then formed on the layer of trench dielectric. The wafer is then planarized using chemical mechanical polishing and a polishing pad having a hardness of at least Shore “D” 52. The hard polishing pad avoids scratch marks on the trench dielectric, the substrate surface, or any other materials deposited on the substrate surface.
    Type: Grant
    Filed: February 26, 2002
    Date of Patent: August 10, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chia-Der Chang, Yi-Tung Yen
  • Patent number: 6774020
    Abstract: Insulating films 34 through 38 (of which insulating films 34, 36, 38 are silicon nitride films and insulating films 35, 38 are silicon oxide films) are sequentially formed on the wires 33 of the fourth wiring layer and groove pattern 40 is transferred into the insulating film 38 by means of photolithography. An anti-reflection film 41 is formed to fill the grooves 40 of the insulating film 38 and then a resist film 42 carrying a hole pattern 43 is formed. The films are subjected to an etching operation in the presence of the resist film 42 to transfer the hole pattern into the insulating films 38, 37, 36 and part of the insulating film 35. Subsequently, the resist film 42 and the anti-reflection film 41 are removed and the groove pattern 40 and the hole pattern 43 are transferred respectively into the insulating film 37 and the insulating film 35 by using the insulating film 38 as mask.
    Type: Grant
    Filed: January 31, 2003
    Date of Patent: August 10, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Shinichi Fukada, Kazuo Nojiri, Takashi Yunogami, Shoji Hotta, Hideo Aoki, Takayuki Oshima, Nobuyoshi Kobayashi
  • Publication number: 20040147128
    Abstract: A method for preventing peeling of a metal layer formed over a semiconductor wafer process surface during a chemical mechanical polishing (CMP) process including providing a semiconductor wafer having a process surface comprising a periphery portion and a central portion said central portion including active areas having semiconductor devices features formed therein the process surface including a dielectric insulating layer; forming a plurality of openings in the periphery portion to form closed communication with the dielectric insulating layer the plurality of openings having an aspect ratio of at least 2; blanket depositing a metal layer to cover the process surface including the periphery portion to include filling the plurality of openings to anchor the metal layer; and, performing a CMP process to remove at least a portion of the metal layer from the process surface.
    Type: Application
    Filed: January 29, 2003
    Publication date: July 29, 2004
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chen-Hua Yui, Tsu Shih
  • Patent number: 6767837
    Abstract: A method of inter-layer dielectric (ILD) or inter-metal dielectric (IMD) planarization. Reactive ion etching (RIE) is performed with gases including equal amounts of C5F8 and CHF3, and argon diluent gas. The ratio of the gas is precisely controlled in the etching, and once the oxygen concentration drops, the etching process enters deposition of the protection layer, and when oxygen concentration drops to a minimum level, the etch-back process stops automatically. Higher ILD or IMD uniformity is achieved compared with conventional CMP process.
    Type: Grant
    Filed: March 5, 2003
    Date of Patent: July 27, 2004
    Assignee: Nanya Technology Corporation
    Inventors: Yu-Chi Sun, Tse-Yao Huang
  • Patent number: 6753259
    Abstract: Cu, for its rather low resistivity, will be widely used in sub-quarter micron meter ULSI devices. However, it is well known that Cu is easy to be corroded as exposed in air. In packaging of chips the bonding pads making of Cu will thus oxides. In addition, the reaction between Au-ball and Cu pads is very poor. On the other hand, a native AlOx layer, about 3-4 nm in thickness, will form as Al exposes in air; the formed layer is inert and is capable of protecting Al from corrosion. Furthermore, the reaction between Au-ball and Al was very well. Therefore, with the methods of the present invention, Al or AlCu as a glue and protection layer is implemented on Cu bonding pads for successful Au wiring.
    Type: Grant
    Filed: October 5, 2001
    Date of Patent: June 22, 2004
    Inventors: Syun-Ming Jang, Mong-Song Liang, Chen-Hua Yu, Chung-Shi Liu, Jane-Bai Lai
  • Patent number: 6750144
    Abstract: A method for filling recesses of different sizes on a semiconductor substrate comprising immersing a semiconductor substrate having a surface provided with recesses of different sizes in an electroplating bath containing ions of a metal to be deposited on the surface; immersing a counter electrode in the plating bath; passing an electric current between the substrate and the counterelectrode; wherein, in a first electroplating step, the electric current is a modulated reversing electric current comprising a train of pulses that are cathodic with respect to the substrate and pulses that are anodic with respect to the substrate, whereby the pulse train in the first step has a first period, the cathodic pulses have an on-time of from about 0.
    Type: Grant
    Filed: February 14, 2003
    Date of Patent: June 15, 2004
    Assignee: Faraday Technology Marketing Group, LLC
    Inventor: E. Jennings Taylor
  • Patent number: 6746958
    Abstract: The present invention is directed to a method of controlling chemical mechanical polishing operations to control the duration of an endpoint polishing process. The method comprises providing a wafer having a layer of copper formed thereabove, performing a first timed polishing operation for a duration (t1) on the layer of copper at a first platen to remove a majority of the layer of copper, performing an endpoint polishing operation on the layer of copper at a second platen to remove substantially all of the layer of copper, determining a duration (t2ept) of the endpoint polishing operation performed on the layer of copper at the second platen, and determining, based upon a comparison between the determined duration (t2ept) of the endpoint polishing operation and a target value for the duration of the endpoint polishing operations, a duration (t1) of the timed polishing operation to be performed on a subsequently processed layer of copper at the first platen.
    Type: Grant
    Filed: March 26, 2001
    Date of Patent: June 8, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Joyce S. Oey Hewett, Gerd Franz Christian Marxsen, Anthony J. Toprac
  • Patent number: 6734106
    Abstract: A method of forming a buried strap comprising the following sequential steps. A substrate having a pad oxide layer formed thereover is provided. A masking layer is formed over the pad oxide layer. The masking layer, pad oxide layer and substrate are etched to form a trench within the substrate. The trench having an outer sidewall and an upper portion. The upper portion of the trench is lined with a collar. A poly plate is formed within the trench. The poly plate and collar are etched below the substrate to form a recessed poly plate and a recessed collar and exposing a portion of outer sidewall of trench. Ions are implanted into the substrate through exposed outer sidewall of trench by gas phase doping. A SiN sidewall layer is formed over the exposed outer sidewall of trench at a temperature sufficient to diffuse the implanted ions further into the substrate to form the buried strap.
    Type: Grant
    Filed: July 15, 2002
    Date of Patent: May 11, 2004
    Assignee: ProMos Technologies, Inc.
    Inventors: Jesse Chung, Hsio-Lei Wang, Hung-Kwei Liao
  • Patent number: 6730603
    Abstract: The present invention provides a polishing endpoint detection system, for use with a polishing apparatus, a method of determining a polishing endpoint of a surface located on a semiconductor wafer, and a method of manufacturing an integrated circuit on a semiconductor wafer. In one embodiment, the polishing endpoint detection system includes a carrier head having a polishing platen associated therewith. Also, the detection system includes a signal emitter located adjacent one of the carrier head or polishing platen. The signal emitter is configured to generate an emitted signal capable of traveling through an object to be polished. In addition, the detection system includes a signal receiver located adjacent another of the carrier head or polishing platen. The signal receiver is configured to receive the emitted signal from which a change in a signal intensity of the emitted signal can be determined.
    Type: Grant
    Filed: October 25, 2001
    Date of Patent: May 4, 2004
    Assignee: Agere Systems Inc.
    Inventors: Annette M. Crevasse, William G. Easter, Frank Miceli, Yifeng Winston Yang
  • Patent number: 6727158
    Abstract: Structure and method for filling an opening in a semiconductor structure that is less susceptible to the formation of voids. A first layer of a first material is formed over the layer in which the opening is to be formed, and a faceted opening is formed in the first layer. The opening in the underlying layer is subsequently formed, and the material that is to fill the opening is deposited over the faceted opening and into the opening of the underlying layer.
    Type: Grant
    Filed: November 8, 2001
    Date of Patent: April 27, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Dirk J. Sundt, William A. Polinsky, Mark A. Bossler, Gabriel G. Videla, Chris L. Inman
  • Patent number: 6723648
    Abstract: A method for fabricating a high density ferroelectric memory device is disclosed in which the burden of etching a storage electrode and a plate electrode is alleviated, and a ferroelectric capacitor module is made highly dense. A seed layer and a sacrificial layer are sequentially formed on a semiconductor substrate. The sacrificial layer is selectively etched to form a loop-shaped sacrificial layer pattern. First and second electrodes are simultaneously formed on the seed layer (thus exposed) by carrying out an electrochemical deposition process after formation of the sacrificial layer pattern. The sacrificial layer pattern is removed. The seed layer (thus exposed) is etched after removal of the sacrificial layer pattern. A ferroelectric thin film is formed by carrying out a spin-on process on the entire surface including the first and second electrodes.
    Type: Grant
    Filed: May 2, 2002
    Date of Patent: April 20, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventor: Eun-Seok Choi
  • Patent number: 6720265
    Abstract: A planarization method includes providing an aluminum-containing surface and positioning it for contact with a fixed abrasive article in the presence of a composition preferably including a surfactant, a complexant, and an oxidant, wherein the solution has a pH of less than about 10.
    Type: Grant
    Filed: August 5, 2002
    Date of Patent: April 13, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Dinesh Chopra
  • Patent number: 6716720
    Abstract: A method is disclosed for filling a depression between two vertically adjoining semiconductor layers, in particular an edge depression arising in the context of an isolation trench formation. A covering layer, preferably made of silicon oxide, is deposited in a large-area manner and is then doped with doping material, preferably nitrogen, essentially right over the entire depth of the layer. The doping material provides for an increased rate of removal of the covering layer, so that, after the removal process, the covering layer material only remains in the depressions.
    Type: Grant
    Filed: March 3, 2003
    Date of Patent: April 6, 2004
    Assignee: Infineon Technologies AG
    Inventors: Dirk Efferenn, Hans-Peter Moll
  • Patent number: 6713394
    Abstract: A planarization process for an integrated circuit structure which inhibits or prevents cracking of low k dielectric material which comprises one of one or more layers of dielectric material formed over raised portions of the underlying integrated circuit structure. Prior to the planarization step, a removable mask is formed over such one or more dielectric layers formed over raised portions of the integrated circuit structure. Openings are formed in the mask to expose a portion of the upper surface of the one or more dielectric layers in the region over at least some of these raised portions of the integrated circuit structure. Exposed portions of the underlying one or more dielectric layers are then etched through such openings in the mask to reduce the overall amount of the one or more dielectric layers overlying such raised portions of the integrated circuit structure.
    Type: Grant
    Filed: September 24, 2002
    Date of Patent: March 30, 2004
    Assignee: LSI Logic Corporation
    Inventors: Ronald J. Nagahara, Jayanthi Pallinti, Dawn Michelle Lee
  • Patent number: 6713398
    Abstract: A method of planarizing a polysilicon plug. A dielectric layer has an opening therein. Polysilicon is deposited into the opening to form a polysilicon layer so that the opening is completely filled and the top surface of the dielectric layer is covered. A high molecular weight compound is deposited to form a sacrificial film over the polysilicon layer. An anisotropic etching of the sacrificial film and the polysilicon layer is carried out to remove the sacrificial film and the polysilicon layer outside the opening.
    Type: Grant
    Filed: November 16, 1999
    Date of Patent: March 30, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Bor-Wen Chan
  • Patent number: 6713396
    Abstract: A method of fabricating high density sub-lithographic features is disclosed. The method includes the use of common microelectronic processes including sub-lithographic spacer formation and Damascene processes to form a plurality of sub-lithographic spacers on vertical side wall surfaces of features carried by a substrate. The sub-lithographic spacers have a period that is less than a minimum resolution of a lithographic system. The density of features, including the sub-lithographic spacers, within a minimum resolution of the lithographic system, can be increased by subsequent depositions of material, followed by anisotropic etching to selectively remove horizontal surfaces of the deposited material. Optionally, the spacer materials can be conformally deposited.
    Type: Grant
    Filed: April 29, 2002
    Date of Patent: March 30, 2004
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Thomas C. Anthony
  • Patent number: 6707134
    Abstract: A semiconductor structure includes a substrate, a dielectric layer disposed on the substrate, a layer of undoped silicate glass disposed on the dielectric layer, a layer of borophosphorous silicate glass on the layer of undoped silicate glass, and a planar dielectric layer disposed on the layer of borophosphorous silicate glass, the layers of undoped silicate glass, borophosphorous silicate glass, and planar dielectric together forming a pre-metal dielectric stack. The planar dielectric may include plasma-enhanced tetraethyl orthosilicate.
    Type: Grant
    Filed: August 3, 2000
    Date of Patent: March 16, 2004
    Assignee: STMicroelectronics, Inc.
    Inventors: Shin Hwa Li, Annie Tissier
  • Patent number: 6703270
    Abstract: A method of manufacturing a semiconductor device comprises the steps of: forming a patterned masking layer (3) of insulating material at a surface (2) of a semiconductor body (1), etching the semiconductor body (1) through the patterned masking layer (3) so as to form a trench (8) in the semiconductor body (1), applying an insulating layer (10) which fills the trench (8) in the semiconductor body (1), the insulating layer (10) exhibiting a trough (11) above the trench (8), which trough (11) has a bottom area (12) lying substantially above the surface (2) of the semiconductor body (1), subjecting the semiconductor body (1) to a planarizing treatment so as to form a substantially planar surface (15), subjecting the semiconductor body (1) to a further treatment so as to expose the semiconductor body (1) and form a field isolating region (17), characterized in that the insulating layer (10) is removed substantially to the bottom area (12) of the trough (11) by means of chemical mechanical polishing using fi
    Type: Grant
    Filed: July 17, 2001
    Date of Patent: March 9, 2004
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Dirk Maarten Knotter, Peter Van Der Velden
  • Patent number: 6703314
    Abstract: Provided is a method for forming a self aligned contact (SAC) of a semiconductor device that can minimize the loss of gate electrodes and hard mask. The method includes the steps of: providing a semiconductor substrate on which a plurality of conductive patterns are formed; forming a first insulation layer along the profile of the conductive patterns on the substrate; forming a second insulation layer on the substrate and simultaneously forming voids between the conductive patterns; forming a third insulation layer on the first insulation layer; and forming contact holes that expose the surface of the substrate between the conductive patterns by etching the third insulation layer and the second insulation layer covering the voids.
    Type: Grant
    Filed: December 3, 2002
    Date of Patent: March 9, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sung-Kwon Lee, Sang-Ik Kim, Chang-Youn Hwang, Weon-Joon Suh, Min-Suk Lee
  • Patent number: 6696358
    Abstract: The present invention relates to the planarization of surfaces as typically encountered in the fabrication of integrated circuits, particularly copper conductors and Ta/TaN barrier layers encountered in damascene and dual damascene interconnects. The present invention describes planarization methods for Cu/Ta/TaN interconnects, typically making use of a viscous overlayer tending to dwell in regions of lower surface topography, protecting said lower regions from etching by a combination of chemical and mechanical effects. In some embodiments, the viscous overlayer contains species that hinder removal of copper from regions of the surface in contact with the viscous layer. Such species may be a substantially saturated solution of copper ions among other additives, thereby hindering the dissolution of interconnect copper into the protective overlayer.
    Type: Grant
    Filed: January 23, 2001
    Date of Patent: February 24, 2004
    Assignee: Honeywell International Inc.
    Inventors: Shyama Mukherjee, Joseph Levert, Donald Debear
  • Publication number: 20040023501
    Abstract: A method of removing HDP oxide deposition comprises the steps of: (1) etching the HDP oxide deposition by in-side-out model, wherein the etching rate in the center of the substrate is faster than the edges of the substrate; (2) etching the HDP oxide deposition by out-side-in model, wherein the etching rate in the edges of the substrate is faster than the center of the substrate; and (3) removing the remaining silicon oxide layer using chemical-mechanical polishing (CMP). According to the method of the invention, the HDP oxide deposition can be planarized more uniform.
    Type: Application
    Filed: May 21, 2003
    Publication date: February 5, 2004
    Inventors: H. W. Lee, Ching-Ping Wu, Han-Maou Chang, Chia-Chih Ma, Nan-Tzu Lian, Hsin-Cheng Liu
  • Publication number: 20040009674
    Abstract: A method for forming a filling film having an even surface and a method for forming a trench isolation using a polishing process. After a substrate having stepped portions thereon is provided, a film is formed on the substrate to cover the stepped portions of the substrate. The edge of the stepped portion of the film is processed to have a round shape, and then the film including the round shaped edge portion is chemical-mechanically polished to form the filling film having an even surface. Before the film is polished, the film to be polished is processed to have the round shape, thereby increasing the polishing rate of the film.
    Type: Application
    Filed: May 30, 2003
    Publication date: January 15, 2004
    Inventors: Jong-Won Lee, Bo-Un Yoon, Jae-Kwang Choi
  • Patent number: 6677227
    Abstract: A metalization process forms metal contacts having defined profiles for contact between microelectromechanical (MEMS) devices or chemical sensors with semiconductor devices. Gold contacts may be used for connecting the MEMS devices or chemical sensors to integrated CMOS devices. Gold contacts are deposited over a photoresist via having sidewalls for forming upwardly extending flanges. The metal contacts to the underlying semiconductor device, are formed using a polymethylmethacrylate (PMMA) etch back process for exposing and dissolving the gold metalization layer save the metal contact under a surviving portion of the etched back PMMA layer in a dimple of the gold layer over the photoresist via. The photoresist layer serves to form deep well gold contacts having upwardly extending flanges for connection to the MEMS devices or chemical sensors and to the integrated semiconductor devices.
    Type: Grant
    Filed: May 21, 2001
    Date of Patent: January 13, 2004
    Assignee: The Aerospace Corporation
    Inventors: James S. Swenson, Robert C. Cole
  • Patent number: 6667219
    Abstract: In one aspect, the invention includes a method of forming a void region associated with a substrate, comprising: a) providing a substrate; b) forming a sacrificial mass over the substrate; c) subjecting the mass to hydrogen to convert a component of the mass to a volatile form; and d) volatilizing the volatile form of the component from the mass to leave a void region associated with the substrate.
    Type: Grant
    Filed: August 30, 2000
    Date of Patent: December 23, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Jerome Michael Eldridge
  • Patent number: 6667237
    Abstract: A process of forming fine repetitive geometries using a mask having large mask dimensions. The pitch of the masking pattern on the mask is divided by the process to obtain a smaller pitch in the fine repetitive geometries. At least two working materials are used one of which can be etched without etching a substrate. In one embodiment the two working materials and the substrate are each etched independently. In other embodiments, the substrate and one working material have similar etch rates while the other material is etched independently. Pedestals are formed having an initial pitch. First sidewalls are formed around the pedestals. The pedestals are removed and a second and third sidewall are formed on the inside and outside surfaces of the first sidewall having spaces there-between. The first sidewall is removed generating another space between the second and third sidewall.
    Type: Grant
    Filed: October 12, 2000
    Date of Patent: December 23, 2003
    Assignee: VRAM Technologies, LLC
    Inventor: Richard A. Metzler
  • Patent number: 6664190
    Abstract: A new method of forming shallow trench isolations using a reverse mask process is described. A polish stop layer is deposited on the surface of a substrate. An etch stop layer is deposited overlying the polish stop layer. A plurality of isolation trenches is etched through the etch stop layer and the polish stop layer into the substrate whereby narrow active areas and wide active areas of the substrate are left between the isolation trenches. An oxide layer is deposited over the etch stop layer and within the isolation trenches. The oxide layer is covered with a mask in the narrow active areas and in the isolation trenches and etched away in the wide active areas stopping at the etch stop layer. Thereafter, the mask is removed and the etch stop layer is polished away to the polish stop layer whereby the oxide layer in the isolation trenches is planarized.
    Type: Grant
    Filed: September 14, 2001
    Date of Patent: December 16, 2003
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Feng Chen, Cheng-Hou Loh, Paul Proctor
  • Patent number: 6664129
    Abstract: To fabricate contacts on a wafer backside, openings (124) are formed in the face side of the wafer (104). A dielectric layer (140) and some contact material (150), e.g. metal, are deposited into the openings. Then the backside is etched until the contacts (150C) are exposed and protrude out. The protruding portion of each contact has an outer sidewall (150V). At least a portion of the sidewall is vertical or sloped outwards with respect to the opening when the contact is traced down. The contact is soldered to an another structure (410), e.g. a die or a PCB. The solder (420) reaches and at least partially covers the sidewall portion which is vertical or sloped outwards. The strength of the solder bond is improved as a result. The dielectric layer protrudes around each contact. The protruding portion (140P) of the dielectric becomes gradually thinner around each contact in the downward direction.
    Type: Grant
    Filed: December 12, 2002
    Date of Patent: December 16, 2003
    Assignee: Tri-Si Technologies, Inc.
    Inventor: Oleg Siniaguine
  • Patent number: 6656842
    Abstract: Deposited Cu is initially removed by CMP with fixed abrasive polishing pads stopping on the barrier layer, e.g., Ta or TaN. Buffing is then conducted selectively with respect to Cu: Ta or TaN and Cu: silicon oxide to remove the barrier layer and control dishing to no greater than 100 Å.
    Type: Grant
    Filed: September 22, 1999
    Date of Patent: December 2, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Shijian Li, Fred C. Redeker, Ramin Emami, Sen-Hou Ko, John M. White
  • Publication number: 20030216049
    Abstract: A method, composition, and computer readable medium for planarizing a substrate. In one aspect, the composition includes one or more chelating agents and ions of at least one transition metal, one or more surfactants, one or more oxidizers, one or more corrosion inhibitors, and deionized water. The composition may further comprise one or more agents to adjust the pH and/or abrasive particles. The method comprises planarizing a substrate using a composition including one or more chelating agents and ions of at least one transition metal.
    Type: Application
    Filed: April 21, 2003
    Publication date: November 20, 2003
    Applicant: Applied Materials, Inc.
    Inventors: Lizhong Sun, Stan D. Tsai, Shijian Li
  • Patent number: 6649513
    Abstract: A method of fabricating a planarized metal structure comprising the following steps. A structure is provided. A patterned dielectric layer is formed over the structure. The patterned dielectric layer having an opening formed therein and exposing at least a portion of the structure. A first-metal layer is formed over the patterned dielectric layer filling the opening. The first-metal layer including at least a doped metal portion adjacent the patterned dielectric layer. The doped metal portion being doped with a second-metal. The structure is annealed to form a second-metal oxide layer adjacent the patterned dielectric layer. The first-metal layer and the second-metal oxide layer are planarized using only a electropolishing process to remove the excess of the first-metal layer and the second-metal oxide layer from over the patterned dielectric layer and leaving a planarized metal structure within the opening.
    Type: Grant
    Filed: May 15, 2002
    Date of Patent: November 18, 2003
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Ming-Hsing Tsai, Shih-Wei Chou, Winston Shue, Mong-Song Liang
  • Patent number: 6649471
    Abstract: Disclosed is a method of planarizing a non-volatile memory device. After forming a floating gate structure on a cell area of a semiconductor substrate, a conductive layer, a hard mask layer and a first insulating layer are sequentially formed on the entire surface of the resultant structure. After removing the first insulating layer of the cell area to leave a first insulating layer pattern only on the peripheral circuit area, the hard mask layer of the cell area is removed. A second insulating layer is formed on the conductive layer and the insulating layer pattern to increase the height of the insulating layer on the peripheral circuit area. The second insulating layer and the first insulating layer pattern are removed until the floating gate structure is exposed, thereby planarizing the cell area and the peripheral circuit area.
    Type: Grant
    Filed: July 25, 2002
    Date of Patent: November 18, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Min-Soo Cho, Dong-Jun Kim, Eui-Youl Ryu, Dai-Goun Kim, Young-Hee Kim, Sang-Rok Hah, Kwang-Bok Kim, Jeong-Lim Nam, Kyung-Hyun Kim